aboutsummaryrefslogtreecommitdiffstats
path: root/testbench.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-07-02 10:49:35 +0200
committerClifford Wolf <clifford@clifford.at>2015-07-02 10:49:35 +0200
commitc48a3b2434e239aa45462a41f2e216fa65b00932 (patch)
treec88472ee3fc60653db6965c3e075c96704b7b5cb /testbench.v
parent084056f729cb4408cbeda954a5aec8ef4f01a0df (diff)
downloadpicorv32-c48a3b2434e239aa45462a41f2e216fa65b00932.tar.gz
picorv32-c48a3b2434e239aa45462a41f2e216fa65b00932.zip
Removed trailing whitespaces
Diffstat (limited to 'testbench.v')
-rw-r--r--testbench.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/testbench.v b/testbench.v
index 3a1c119..4703f3a 100644
--- a/testbench.v
+++ b/testbench.v
@@ -1,5 +1,5 @@
// This is free and unencumbered software released into the public domain.
-//
+//
// Anyone is free to copy, modify, publish, use, compile, sell, or
// distribute this software, either in source code form or as a compiled
// binary, for any purpose, commercial or non-commercial, and by any