aboutsummaryrefslogtreecommitdiffstats
path: root/dhrystone/testbench.v
diff options
context:
space:
mode:
Diffstat (limited to 'dhrystone/testbench.v')
-rw-r--r--dhrystone/testbench.v7
1 files changed, 5 insertions, 2 deletions
diff --git a/dhrystone/testbench.v b/dhrystone/testbench.v
index c3c766d..6251472 100644
--- a/dhrystone/testbench.v
+++ b/dhrystone/testbench.v
@@ -26,7 +26,10 @@ module testbench;
wire [31:0] mem_la_wdata;
wire [3:0] mem_la_wstrb;
- picorv32 uut (
+ picorv32 #(
+ .ENABLE_MUL(1),
+ .ENABLE_DIV(1)
+ ) uut (
.clk (clk ),
.resetn (resetn ),
.trap (trap ),
@@ -88,7 +91,7 @@ module testbench;
$finish;
end
always @(uut.count_instr[0]) begin
- $display("## %-s %d", uut.instruction, uut.count_cycle);
+ $display("## %-s %d", uut.ascii_instr ? uut.ascii_instr : "x", uut.count_cycle);
end
`endif
endmodule