aboutsummaryrefslogtreecommitdiffstats
path: root/picosoc/hx8kdemo_tb.v
diff options
context:
space:
mode:
Diffstat (limited to 'picosoc/hx8kdemo_tb.v')
-rw-r--r--picosoc/hx8kdemo_tb.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/picosoc/hx8kdemo_tb.v b/picosoc/hx8kdemo_tb.v
index 585c8dd..83b1340 100644
--- a/picosoc/hx8kdemo_tb.v
+++ b/picosoc/hx8kdemo_tb.v
@@ -27,7 +27,7 @@ module testbench;
$dumpfile("testbench.vcd");
$dumpvars(0, testbench);
- repeat (100000) @(posedge clk);
+ repeat (200000) @(posedge clk);
$finish;
end