aboutsummaryrefslogtreecommitdiffstats
path: root/picosoc/spiflash.v
diff options
context:
space:
mode:
Diffstat (limited to 'picosoc/spiflash.v')
-rw-r--r--picosoc/spiflash.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/picosoc/spiflash.v b/picosoc/spiflash.v
index 1ade543..298219d 100644
--- a/picosoc/spiflash.v
+++ b/picosoc/spiflash.v
@@ -46,7 +46,7 @@ module spiflash (
);
localparam verbose = 0;
localparam integer latency = 8;
-
+
reg [7:0] buffer;
integer bitcount = 0;
integer bytecount = 0;