aboutsummaryrefslogtreecommitdiffstats
path: root/picosoc/testbench.v
diff options
context:
space:
mode:
Diffstat (limited to 'picosoc/testbench.v')
-rw-r--r--picosoc/testbench.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/picosoc/testbench.v b/picosoc/testbench.v
index 6b1cae2..af5b121 100644
--- a/picosoc/testbench.v
+++ b/picosoc/testbench.v
@@ -78,7 +78,7 @@ module testbench;
always @(posedge clk) begin
iomem_ready <= 0;
- if (iomem_valid && !iomem_ready && iomem_addr[31:24] == 8'h 02) begin
+ if (iomem_valid && !iomem_ready && iomem_addr[31:24] == 8'h 03) begin
iomem_ready <= 1;
iomem_rdata <= gpio;
if (iomem_wstrb[0]) gpio[ 7: 0] <= iomem_wdata[ 7: 0];