aboutsummaryrefslogtreecommitdiffstats
path: root/picosoc/testbench.v
diff options
context:
space:
mode:
Diffstat (limited to 'picosoc/testbench.v')
-rw-r--r--picosoc/testbench.v5
1 files changed, 5 insertions, 0 deletions
diff --git a/picosoc/testbench.v b/picosoc/testbench.v
index a7e57de..57e0538 100644
--- a/picosoc/testbench.v
+++ b/picosoc/testbench.v
@@ -78,6 +78,8 @@ module testbench;
wire [31:0] iomem_wdata;
reg [31:0] iomem_rdata;
+ wire ser_tx_rx;
+
reg [31:0] gpio;
always @(posedge clk) begin
@@ -108,6 +110,9 @@ module testbench;
.clk (clk ),
.resetn (resetn ),
+ .ser_tx (ser_tx_rx ),
+ .ser_rx (ser_tx_rx ),
+
.flash_csb (flash_csb ),
.flash_clk (flash_clk ),