aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/icestorm/example.v
diff options
context:
space:
mode:
Diffstat (limited to 'scripts/icestorm/example.v')
-rw-r--r--scripts/icestorm/example.v8
1 files changed, 1 insertions, 7 deletions
diff --git a/scripts/icestorm/example.v b/scripts/icestorm/example.v
index cd52c76..e1c64b4 100644
--- a/scripts/icestorm/example.v
+++ b/scripts/icestorm/example.v
@@ -1,16 +1,10 @@
`timescale 1 ns / 1 ps
module top (
- input clk_pin,
+ input clk,
output reg LED0, LED1, LED2, LED3, LED4, LED5, LED6, LED7
);
// -------------------------------
- // Clock Buffer
-
- wire clk = clk_pin;
-
-
- // -------------------------------
// Reset Generator
reg [7:0] resetn_counter = 0;