aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/quartus/synth_area_large.tcl
diff options
context:
space:
mode:
Diffstat (limited to 'scripts/quartus/synth_area_large.tcl')
-rw-r--r--scripts/quartus/synth_area_large.tcl10
1 files changed, 0 insertions, 10 deletions
diff --git a/scripts/quartus/synth_area_large.tcl b/scripts/quartus/synth_area_large.tcl
deleted file mode 100644
index af611b5..0000000
--- a/scripts/quartus/synth_area_large.tcl
+++ /dev/null
@@ -1,10 +0,0 @@
-read_verilog ../../picorv32.v
-read_verilog synth_area_top.v
-read_xdc synth_area.xdc
-
-synth_design -part xc7k70t-fbg676 -top top_large
-opt_design -sweep -propconst -resynth_seq_area
-opt_design -directive ExploreSequentialArea
-
-report_utilization
-report_timing