aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/quartus/synth_speed.tcl
diff options
context:
space:
mode:
Diffstat (limited to 'scripts/quartus/synth_speed.tcl')
-rw-r--r--scripts/quartus/synth_speed.tcl13
1 files changed, 0 insertions, 13 deletions
diff --git a/scripts/quartus/synth_speed.tcl b/scripts/quartus/synth_speed.tcl
deleted file mode 100644
index f3874e4..0000000
--- a/scripts/quartus/synth_speed.tcl
+++ /dev/null
@@ -1,13 +0,0 @@
-
-read_verilog ../../picorv32.v
-read_xdc synth_speed.xdc
-
-synth_design -part xc7k70t-fbg676 -top picorv32_axi
-opt_design
-place_design
-phys_opt_design
-route_design
-
-report_utilization
-report_timing
-