aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/quartus/system.v
diff options
context:
space:
mode:
Diffstat (limited to 'scripts/quartus/system.v')
-rw-r--r--scripts/quartus/system.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/scripts/quartus/system.v b/scripts/quartus/system.v
index c4882a1..19a4b8d 100644
--- a/scripts/quartus/system.v
+++ b/scripts/quartus/system.v
@@ -8,7 +8,7 @@ module system (
output reg out_byte_en
);
// set this to 0 for better timing but less performance/MHz
- parameter FAST_MEMORY = 1;
+ parameter FAST_MEMORY = 0;
// 4096 32bit words = 16kB memory
parameter MEM_SIZE = 4096;