aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/vivado/Makefile
diff options
context:
space:
mode:
Diffstat (limited to 'scripts/vivado/Makefile')
-rw-r--r--scripts/vivado/Makefile16
1 files changed, 10 insertions, 6 deletions
diff --git a/scripts/vivado/Makefile b/scripts/vivado/Makefile
index 4e109d2..ecade93 100644
--- a/scripts/vivado/Makefile
+++ b/scripts/vivado/Makefile
@@ -1,5 +1,5 @@
-VIVADO_BASE = /opt/Xilinx/Vivado/2016.1
+VIVADO_BASE = /opt/Xilinx/Vivado/2017.2
VIVADO = $(VIVADO_BASE)/bin/vivado
XVLOG = $(VIVADO_BASE)/bin/xvlog
XELAB = $(VIVADO_BASE)/bin/xelab
@@ -8,6 +8,9 @@ TOOLCHAIN_PREFIX = riscv64-unknown-elf-
export VIVADO
+# work-around for http://svn.clifford.at/handicraft/2016/vivadosig11
+export RDI_VERBOSE = False
+
help:
@echo ""
@echo "Simple synthesis tests:"
@@ -49,11 +52,12 @@ tab_%/results.txt:
area: synth_area_small synth_area_regular synth_area_large
-grep -B4 -A10 'Slice LUTs' synth_area_small.log synth_area_regular.log synth_area_large.log
-table.txt: tab_small_xc7a_1/results.txt tab_small_xc7a_2/results.txt tab_small_xc7a_3/results.txt
-table.txt: tab_small_xc7k_1/results.txt tab_small_xc7k_2/results.txt tab_small_xc7k_3/results.txt
-table.txt: tab_small_xc7v_1/results.txt tab_small_xc7v_2/results.txt tab_small_xc7v_3/results.txt
-table.txt: tab_small_xcku_1/results.txt tab_small_xcku_2/results.txt tab_small_xcku_3/results.txt
-table.txt: tab_small_xcvu_1/results.txt tab_small_xcvu_2/results.txt tab_small_xcvu_3/results.txt
+table.txt: tab_small_xc7k_2/results.txt tab_small_xc7k_3/results.txt
+table.txt: tab_small_xc7v_2/results.txt tab_small_xc7v_3/results.txt
+table.txt: tab_small_xcku_2/results.txt tab_small_xcku_3/results.txt
+table.txt: tab_small_xcvu_2/results.txt tab_small_xcvu_3/results.txt
+table.txt: tab_small_xckup_2/results.txt tab_small_xckup_3/results.txt
+table.txt: tab_small_xcvup_2/results.txt tab_small_xcvup_3/results.txt
table.txt:
bash table.sh > table.txt