aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/vivado/Makefile
diff options
context:
space:
mode:
Diffstat (limited to 'scripts/vivado/Makefile')
-rw-r--r--scripts/vivado/Makefile3
1 files changed, 3 insertions, 0 deletions
diff --git a/scripts/vivado/Makefile b/scripts/vivado/Makefile
index 9ca46a9..01d36b1 100644
--- a/scripts/vivado/Makefile
+++ b/scripts/vivado/Makefile
@@ -5,8 +5,11 @@ help:
@echo "Usage: make {synth_speed|synth_area|synth_soc}"
synth_%:
+ rm -f $@.log
$(VIVADO) -nojournal -log $@.log -mode batch -source $@.tcl
rm -rf .Xil fsm_encoding.os synth_*.backup.log usage_statistics_webtalk.*
+ -grep -B4 -A10 'Slice LUTs' $@.log
+ -grep -B1 -A9 ^Slack $@.log && echo
tab_%/results.txt:
bash tabtest.sh $@