aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/vivado/synth_area.tcl
diff options
context:
space:
mode:
Diffstat (limited to 'scripts/vivado/synth_area.tcl')
-rw-r--r--scripts/vivado/synth_area.tcl14
1 files changed, 14 insertions, 0 deletions
diff --git a/scripts/vivado/synth_area.tcl b/scripts/vivado/synth_area.tcl
new file mode 100644
index 0000000..b9376af
--- /dev/null
+++ b/scripts/vivado/synth_area.tcl
@@ -0,0 +1,14 @@
+
+read_verilog ../../picorv32.v
+read_xdc synth_area.xdc
+
+synth_design -part xc7a15t-csg324 -top picorv32_axi
+opt_design
+place_design
+route_design
+
+report_utilization
+report_timing
+
+write_verilog -force synth_area.v
+