aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/vivado/synth_area_regular.tcl
diff options
context:
space:
mode:
Diffstat (limited to 'scripts/vivado/synth_area_regular.tcl')
-rw-r--r--scripts/vivado/synth_area_regular.tcl11
1 files changed, 11 insertions, 0 deletions
diff --git a/scripts/vivado/synth_area_regular.tcl b/scripts/vivado/synth_area_regular.tcl
new file mode 100644
index 0000000..06b064c
--- /dev/null
+++ b/scripts/vivado/synth_area_regular.tcl
@@ -0,0 +1,11 @@
+
+read_verilog ../../picorv32.v
+read_verilog synth_area_top.v
+read_xdc synth_area.xdc
+
+synth_design -part xc7k70t-fbg676 -top top_small
+opt_design -resynth_seq_area
+
+report_utilization
+# report_timing
+