aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/vivado/synth_soc.tcl
diff options
context:
space:
mode:
Diffstat (limited to 'scripts/vivado/synth_soc.tcl')
-rw-r--r--scripts/vivado/synth_soc.tcl15
1 files changed, 15 insertions, 0 deletions
diff --git a/scripts/vivado/synth_soc.tcl b/scripts/vivado/synth_soc.tcl
new file mode 100644
index 0000000..4311418
--- /dev/null
+++ b/scripts/vivado/synth_soc.tcl
@@ -0,0 +1,15 @@
+
+read_verilog soc_top.v
+read_verilog ../../picorv32.v
+read_xdc synth_soc.xdc
+
+synth_design -part xc7a15t-csg324 -top soc_top
+opt_design
+place_design
+route_design
+
+report_utilization
+report_timing
+
+write_verilog -force synth_soc.v
+