diff options
Diffstat (limited to 'scripts/vivado/synth_speed.tcl')
-rw-r--r-- | scripts/vivado/synth_speed.tcl | 1 |
1 files changed, 1 insertions, 0 deletions
diff --git a/scripts/vivado/synth_speed.tcl b/scripts/vivado/synth_speed.tcl index dc83ab1..ae81560 100644 --- a/scripts/vivado/synth_speed.tcl +++ b/scripts/vivado/synth_speed.tcl @@ -5,6 +5,7 @@ read_xdc synth_speed.xdc synth_design -part xc7k70t-fbg676 -top picorv32_axi opt_design place_design +phys_opt_design route_design report_utilization |