aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/vivado/synth_vivado.tcl
diff options
context:
space:
mode:
Diffstat (limited to 'scripts/vivado/synth_vivado.tcl')
-rw-r--r--scripts/vivado/synth_vivado.tcl18
1 files changed, 0 insertions, 18 deletions
diff --git a/scripts/vivado/synth_vivado.tcl b/scripts/vivado/synth_vivado.tcl
deleted file mode 100644
index 386a070..0000000
--- a/scripts/vivado/synth_vivado.tcl
+++ /dev/null
@@ -1,18 +0,0 @@
-
-# vivado -nojournal -log synth_vivado.log -mode batch -source synth_vivado.tcl
-
-read_verilog synth_vivado_soc.v
-read_verilog ../../picorv32.v
-read_xdc synth_vivado.xdc
-
-synth_design -part xc7a15t-csg324 -top picorv32_axi
-# synth_design -part xc7a15t-csg324 -top test_soc
-opt_design
-place_design
-route_design
-
-report_utilization
-report_timing
-
-write_verilog -force synth_vivado_syn.v
-