aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/vivado/system_tb.v
diff options
context:
space:
mode:
Diffstat (limited to 'scripts/vivado/system_tb.v')
-rw-r--r--scripts/vivado/system_tb.v4
1 files changed, 4 insertions, 0 deletions
diff --git a/scripts/vivado/system_tb.v b/scripts/vivado/system_tb.v
index f0676ca..a66d612 100644
--- a/scripts/vivado/system_tb.v
+++ b/scripts/vivado/system_tb.v
@@ -6,6 +6,10 @@ module system_tb;
reg resetn = 0;
initial begin
+ if ($test$plusargs("vcd")) begin
+ $dumpfile("system.vcd");
+ $dumpvars(0, system_tb);
+ end
repeat (100) @(posedge clk);
resetn <= 1;
end