aboutsummaryrefslogtreecommitdiffstats
path: root/scripts
diff options
context:
space:
mode:
Diffstat (limited to 'scripts')
-rw-r--r--scripts/csmith/.gitignore4
-rw-r--r--scripts/csmith/Makefile20
-rw-r--r--scripts/cxxdemo/.gitignore2
-rw-r--r--scripts/cxxdemo/Makefile12
-rw-r--r--scripts/icestorm/Makefile44
5 files changed, 41 insertions, 41 deletions
diff --git a/scripts/csmith/.gitignore b/scripts/csmith/.gitignore
index 3441391..646c095 100644
--- a/scripts/csmith/.gitignore
+++ b/scripts/csmith/.gitignore
@@ -6,7 +6,7 @@ platform.info
test.c
test.ld
test.elf
-test.exe
+test_ref
test.hex
-testbench.exe
+testbench.vvp
testbench.vcd
diff --git a/scripts/csmith/Makefile b/scripts/csmith/Makefile
index e3cbbd7..4fd060b 100644
--- a/scripts/csmith/Makefile
+++ b/scripts/csmith/Makefile
@@ -3,9 +3,9 @@ RISCV_TOOLS_PREFIX = $(RISCV_TOOLS_DIR)/bin/riscv32-unknown-elf-
CSMITH_INCDIR = $(shell ls -d /usr/local/include/csmith-* | head -n1)
CC = $(RISCV_TOOLS_PREFIX)gcc
-run: test.exe test.hex testbench.exe
- ./test.exe | tee output_ref.txt
- vvp -N testbench.exe | tee output_sim.txt
+run: test_ref test.hex testbench.vvp
+ ./test_ref | tee output_ref.txt
+ vvp -N testbench.vvp | tee output_sim.txt
diff -u output_ref.txt output_sim.txt
spike: riscv-fesvr/build.ok riscv-isa-sim/build.ok test.elf
@@ -24,9 +24,9 @@ riscv-isa-sim/build.ok: riscv-fesvr/build.ok
cd riscv-isa-sim && LDFLAGS="-L../riscv-fesvr" ./configure --with-isa=RV32IMC
+cd riscv-isa-sim && ln -s ../riscv-fesvr/fesvr . && make && touch build.ok
-testbench.exe: testbench.v ../../picorv32.v
- iverilog -o testbench.exe testbench.v ../../picorv32.v
- chmod -x testbench.exe
+testbench.vvp: testbench.v ../../picorv32.v
+ iverilog -o testbench.vvp testbench.v ../../picorv32.v
+ chmod -x testbench.vvp
test.hex: test.elf
$(RISCV_TOOLS_PREFIX)objcopy -O verilog test.elf test.hex
@@ -35,8 +35,8 @@ start.elf: start.S start.ld
$(CC) -nostdlib -o start.elf start.S -T start.ld
chmod -x start.elf
-test.exe: test.c
- gcc -m32 -o test.exe -w -Os -I $(CSMITH_INCDIR) test.c
+test_ref: test.c
+ gcc -m32 -o test_ref -w -Os -I $(CSMITH_INCDIR) test.c
test.elf: test.c syscalls.c start.S
sed -e '/SECTIONS/,+1 s/{/{ . = 0x00000000; .start : { *(.text.start) } application_entry_point = 0x00010000;/;' \
@@ -50,8 +50,8 @@ test.c:
csmith -o test.c
clean:
- rm -f platform.info test.c test.ld test.elf test.hex test.exe
- rm -f testbench.exe testbench.vcd output_ref.txt output_sim.txt
+ rm -f platform.info test.c test.ld test.elf test.hex test_ref
+ rm -f testbench.vvp testbench.vcd output_ref.txt output_sim.txt
mrproper: clean
rm -rf riscv-fesvr riscv-isa-sim
diff --git a/scripts/cxxdemo/.gitignore b/scripts/cxxdemo/.gitignore
index 563b908..47e6b5c 100644
--- a/scripts/cxxdemo/.gitignore
+++ b/scripts/cxxdemo/.gitignore
@@ -4,6 +4,6 @@ firmware.hex
firmware32.hex
firmware.o
syscalls.o
-testbench.exe
+testbench.vvp
testbench.vcd
start.elf
diff --git a/scripts/cxxdemo/Makefile b/scripts/cxxdemo/Makefile
index d811427..e858761 100644
--- a/scripts/cxxdemo/Makefile
+++ b/scripts/cxxdemo/Makefile
@@ -7,12 +7,12 @@ CCFLAGS = -MD -Os -Wall -std=c++11
LDFLAGS = -Wl,--gc-sections
LDLIBS = -lstdc++
-test: testbench.exe firmware32.hex
- vvp -N testbench.exe
+test: testbench.vvp firmware32.hex
+ vvp -N testbench.vvp
-testbench.exe: testbench.v ../../picorv32.v
- iverilog -o testbench.exe testbench.v ../../picorv32.v
- chmod -x testbench.exe
+testbench.vvp: testbench.v ../../picorv32.v
+ iverilog -o testbench.vvp testbench.v ../../picorv32.v
+ chmod -x testbench.vvp
firmware32.hex: firmware.elf start.elf hex8tohex32.py
$(RISCV_TOOLS_PREFIX)objcopy -O verilog start.elf start.tmp
@@ -32,7 +32,7 @@ start.elf: start.S start.ld
clean:
rm -f *.o *.d *.tmp start.elf
rm -f firmware.elf firmware.hex firmware32.hex
- rm -f testbench.exe testbench.vcd
+ rm -f testbench.vvp testbench.vcd
-include *.d
.PHONY: test clean
diff --git a/scripts/icestorm/Makefile b/scripts/icestorm/Makefile
index 8f70913..74ba34d 100644
--- a/scripts/icestorm/Makefile
+++ b/scripts/icestorm/Makefile
@@ -24,38 +24,38 @@ example.txt: synth.blif
example.bin: example.txt
icepack example.txt example.bin
-example_tb.exe: example_tb.v example.v firmware.hex
- iverilog -o example_tb.exe -s testbench example.v example_tb.v ../../picorv32.v
- chmod -x example_tb.exe
+example_tb.vvp: example_tb.v example.v firmware.hex
+ iverilog -o example_tb.vvp -s testbench example.v example_tb.v ../../picorv32.v
+ chmod -x example_tb.vvp
-example_sim: example_tb.exe
- vvp -N example_tb.exe
+example_sim: example_tb.vvp
+ vvp -N example_tb.vvp
-example_sim_vcd: example_tb.exe
- vvp -N example_tb.exe +vcd
+example_sim_vcd: example_tb.vvp
+ vvp -N example_tb.vvp +vcd
-synth_tb.exe: example_tb.v synth.blif
- iverilog -o synth_tb.exe -s testbench synth.v example_tb.v /usr/local/share/yosys/ice40/cells_sim.v
- chmod -x synth_tb.exe
+synth_tb.vvp: example_tb.v synth.blif
+ iverilog -o synth_tb.vvp -s testbench synth.v example_tb.v /usr/local/share/yosys/ice40/cells_sim.v
+ chmod -x synth_tb.vvp
-synth_sim: synth_tb.exe
- vvp -N synth_tb.exe
+synth_sim: synth_tb.vvp
+ vvp -N synth_tb.vvp
-synth_sim_vcd: synth_tb.exe
- vvp -N synth_tb.exe +vcd
+synth_sim_vcd: synth_tb.vvp
+ vvp -N synth_tb.vvp +vcd
route.v: example.txt
icebox_vlog -L -n top -sp example.pcf example.txt > route.v
-route_tb.exe: example_tb.v route.v
- iverilog -o route_tb.exe -s testbench route.v example_tb.v /usr/local/share/yosys/ice40/cells_sim.v
- chmod -x route_tb.exe
+route_tb.vvp: example_tb.v route.v
+ iverilog -o route_tb.vvp -s testbench route.v example_tb.v /usr/local/share/yosys/ice40/cells_sim.v
+ chmod -x route_tb.vvp
-route_sim: route_tb.exe
- vvp -N route_tb.exe
+route_sim: route_tb.vvp
+ vvp -N route_tb.vvp
-route_sim_vcd: route_tb.exe
- vvp -N route_tb.exe +vcd
+route_sim_vcd: route_tb.vvp
+ vvp -N route_tb.vvp +vcd
prog_sram:
iceprog -S example.bin
@@ -66,7 +66,7 @@ view:
clean:
rm -f firmware.elf firmware.map firmware.bin firmware.hex
rm -f synth.log synth.v synth.blif route.v example.txt example.bin
- rm -f example_tb.exe synth_tb.exe route_tb.exe example.vcd
+ rm -f example_tb.vvp synth_tb.vvp route_tb.vvp example.vcd
.PHONY: all prog_sram view clean
.PHONY: example_sim synth_sim route_sim