aboutsummaryrefslogtreecommitdiffstats
path: root/synth_vivado.tcl
diff options
context:
space:
mode:
Diffstat (limited to 'synth_vivado.tcl')
-rw-r--r--synth_vivado.tcl16
1 files changed, 0 insertions, 16 deletions
diff --git a/synth_vivado.tcl b/synth_vivado.tcl
deleted file mode 100644
index 2dd4fce..0000000
--- a/synth_vivado.tcl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-# vivado -nojournal -log synth_vivado.log -mode batch -source synth_vivado.tcl
-
-read_verilog picorv32.v
-read_xdc synth_vivado.xdc
-
-synth_design -part xc7a15t-csg324 -top picorv32_axi
-opt_design
-place_design
-route_design
-
-report_utilization
-report_timing
-
-write_verilog -force synth_vivado.v
-