aboutsummaryrefslogtreecommitdiffstats
path: root/testbench.v
diff options
context:
space:
mode:
Diffstat (limited to 'testbench.v')
-rw-r--r--testbench.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/testbench.v b/testbench.v
index eee2676..9d8e249 100644
--- a/testbench.v
+++ b/testbench.v
@@ -75,7 +75,7 @@ module picorv32_wrapper #(
output [35:0] trace_data
);
wire tests_passed;
- reg [31:0] irq;
+ reg [31:0] irq = 0;
reg [15:0] count_cycle = 0;
always @(posedge clk) count_cycle <= resetn ? count_cycle + 1 : 0;