aboutsummaryrefslogtreecommitdiffstats
path: root/picorv32.core
blob: 08ee704e96eb6dd66b5cb36ec5569be629d191af (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
CAPI=2:
name : ::picorv32:0-r1

filesets:
  rtl:
    files: [picorv32.v]
    file_type : verilogSource
  tb:
    files: [testbench.v]
    file_type : verilogSource
    depend:
  tb_ez:
    files: [testbench_ez.v]
    file_type : verilogSource
  tb_wb:
    files: [testbench_wb.v]
    file_type : verilogSource
  tb_verilator:
    files:
      - testbench.cc : {file_type : cppSource}

targets:
  default:
    filesets: [rtl]
  lint:
    filesets: [rtl]
    default_tool : verilator
    tools:
      verilator:
        mode : lint-only
    toplevel : [picorv32_axi]
  test:
    default_tool: icarus
    filesets: [rtl, tb, "tool_verilator? (tb_verilator)"]
    parameters: [COMPRESSED_ISA, axi_test, firmware, noerror, trace, vcd, verbose]
    toplevel:
      - "tool_verilator?  (picorv32_wrapper)"
      - "!tool_verilator? (testbench)"
      
    tools:
      verilator :
        cli_parser : fusesoc
        mode : cc
        verilator_options : [-Wno-fatal, --trace]
  test_ez:
    default_tool: icarus
    filesets: [rtl, tb_ez]
    parameters: [vcd]
    toplevel: [testbench]
  test_wb:
    default_tool: icarus
    filesets: [rtl, tb_wb]
    parameters: [COMPRESSED_ISA, firmware, noerror, trace, vcd]
    toplevel: [testbench]

parameters:
  COMPRESSED_ISA:
    datatype  : str
    default   : 1
    paramtype : vlogdefine
  axi_test:
    datatype  :  bool
    paramtype : plusarg
  firmware:
    datatype  :  file
    paramtype : plusarg
  noerror:
    datatype  :  bool
    paramtype : plusarg
  trace:
    datatype  : bool
    paramtype : plusarg
  vcd:
    datatype  : bool
    paramtype : plusarg
  verbose:
    datatype : bool
    paramtype : plusarg