1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
|
/*
* PicoSoC - A simple example SoC using PicoRV32
*
* Copyright (C) 2017 Clifford Wolf <clifford@clifford.at>
*
* Permission to use, copy, modify, and/or distribute this software for any
* purpose with or without fee is hereby granted, provided that the above
* copyright notice and this permission notice appear in all copies.
*
* THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
* WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
* MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
* ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
* WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
* ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
* OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
*
*/
`timescale 1 ns / 1 ps
module testbench;
reg flash_csb = 1;
reg flash_clk = 0;
wire flash_io0;
wire flash_io1;
wire flash_io2;
wire flash_io3;
reg flash_io0_oe = 0;
reg flash_io1_oe = 0;
reg flash_io2_oe = 0;
reg flash_io3_oe = 0;
reg flash_io0_dout = 0;
reg flash_io1_dout = 0;
reg flash_io2_dout = 0;
reg flash_io3_dout = 0;
assign flash_io0 = flash_io0_oe ? flash_io0_dout : 1'bz;
assign flash_io1 = flash_io1_oe ? flash_io1_dout : 1'bz;
assign flash_io2 = flash_io2_oe ? flash_io2_dout : 1'bz;
assign flash_io3 = flash_io3_oe ? flash_io3_dout : 1'bz;
spiflash uut (
.csb(flash_csb),
.clk(flash_clk),
.io0(flash_io0),
.io1(flash_io1),
.io2(flash_io2),
.io3(flash_io3)
);
localparam [23:0] offset = 24'h100000;
localparam [31:0] word0 = 32'h 01300293;
localparam [31:0] word1 = 32'h 00502223;
reg [7:0] rdata;
integer errcount = 0;
task expect;
input [7:0] data;
begin
if (data !== rdata) begin
$display("ERROR: Got %x (%b) but expected %x (%b).", rdata, rdata, data, data);
errcount = errcount + 1;
end
end
endtask
task xfer_begin;
begin
#5;
flash_csb = 0;
$display("-- BEGIN");
#5;
end
endtask
task xfer_dummy;
begin
flash_io0_oe = 0;
flash_io1_oe = 0;
flash_io2_oe = 0;
flash_io3_oe = 0;
#5;
flash_clk = 1;
#5;
flash_clk = 0;
#5;
end
endtask
task xfer_end;
begin
#5;
flash_csb = 1;
flash_io0_oe = 0;
flash_io1_oe = 0;
flash_io2_oe = 0;
flash_io3_oe = 0;
$display("-- END");
$display("");
#5;
end
endtask
task xfer_spi;
input [7:0] data;
integer i;
begin
flash_io0_oe = 1;
flash_io1_oe = 0;
flash_io2_oe = 0;
flash_io3_oe = 0;
for (i = 0; i < 8; i=i+1) begin
flash_io0_dout = data[7-i];
#5;
flash_clk = 1;
rdata[7-i] = flash_io1;
#5;
flash_clk = 0;
end
$display("-- SPI SDR %02x %02x", data, rdata);
#5;
end
endtask
task xfer_qspi_wr;
input [7:0] data;
integer i;
begin
flash_io0_oe = 1;
flash_io1_oe = 1;
flash_io2_oe = 1;
flash_io3_oe = 1;
flash_io0_dout = data[4];
flash_io1_dout = data[5];
flash_io2_dout = data[6];
flash_io3_dout = data[7];
#5;
flash_clk = 1;
#5;
flash_clk = 0;
flash_io0_dout = data[0];
flash_io1_dout = data[1];
flash_io2_dout = data[2];
flash_io3_dout = data[3];
#5;
flash_clk = 1;
#5;
flash_clk = 0;
$display("-- QSPI SDR %02x --", data);
#5;
end
endtask
task xfer_qspi_rd;
integer i;
begin
flash_io0_oe = 0;
flash_io1_oe = 0;
flash_io2_oe = 0;
flash_io3_oe = 0;
#5;
flash_clk = 1;
rdata[4] = flash_io0;
rdata[5] = flash_io1;
rdata[6] = flash_io2;
rdata[7] = flash_io3;
#5;
flash_clk = 0;
#5;
flash_clk = 1;
rdata[0] = flash_io0;
rdata[1] = flash_io1;
rdata[2] = flash_io2;
rdata[3] = flash_io3;
#5;
flash_clk = 0;
$display("-- QSPI SDR -- %02x", rdata);
#5;
end
endtask
task xfer_qspi_ddr_wr;
input [7:0] data;
integer i;
begin
flash_io0_oe = 1;
flash_io1_oe = 1;
flash_io2_oe = 1;
flash_io3_oe = 1;
flash_io0_dout = data[4];
flash_io1_dout = data[5];
flash_io2_dout = data[6];
flash_io3_dout = data[7];
#5;
flash_clk = 1;
flash_io0_dout = data[0];
flash_io1_dout = data[1];
flash_io2_dout = data[2];
flash_io3_dout = data[3];
#5;
flash_clk = 0;
$display("-- QSPI DDR %02x --", data);
#5;
end
endtask
task xfer_qspi_ddr_rd;
integer i;
begin
flash_io0_oe = 0;
flash_io1_oe = 0;
flash_io2_oe = 0;
flash_io3_oe = 0;
#5;
flash_clk = 1;
rdata[4] = flash_io0;
rdata[5] = flash_io1;
rdata[6] = flash_io2;
rdata[7] = flash_io3;
#5;
flash_clk = 0;
rdata[0] = flash_io0;
rdata[1] = flash_io1;
rdata[2] = flash_io2;
rdata[3] = flash_io3;
$display("-- QSPI DDR -- %02x", rdata);
#5;
end
endtask
initial begin
$dumpfile("spiflash_tb.vcd");
$dumpvars(0, testbench);
$display("");
$display("Reset (FFh)");
xfer_begin;
xfer_spi(8'h ff);
xfer_end;
$display("Power Up (ABh)");
xfer_begin;
xfer_spi(8'h ab);
xfer_end;
$display("Read Data (03h)");
xfer_begin;
xfer_spi(8'h 03);
xfer_spi(offset[23:16]);
xfer_spi(offset[15:8]);
xfer_spi(offset[7:0]);
xfer_spi(8'h 00); expect(word0[7:0]);
xfer_spi(8'h 00); expect(word0[15:8]);
xfer_spi(8'h 00); expect(word0[23:16]);
xfer_spi(8'h 00); expect(word0[31:24]);
xfer_spi(8'h 00); expect(word1[7:0]);
xfer_spi(8'h 00); expect(word1[15:8]);
xfer_spi(8'h 00); expect(word1[23:16]);
xfer_spi(8'h 00); expect(word1[31:24]);
xfer_end;
$display("Quad I/O Read (EBh)");
xfer_begin;
xfer_spi(8'h eb);
xfer_qspi_wr(offset[23:16]);
xfer_qspi_wr(offset[15:8]);
xfer_qspi_wr(offset[7:0]);
xfer_qspi_wr(8'h a5);
xfer_dummy;
xfer_qspi_rd; expect(word0[7:0]);
xfer_qspi_rd; expect(word0[15:8]);
xfer_qspi_rd; expect(word0[23:16]);
xfer_qspi_rd; expect(word0[31:24]);
xfer_qspi_rd; expect(word1[7:0]);
xfer_qspi_rd; expect(word1[15:8]);
xfer_qspi_rd; expect(word1[23:16]);
xfer_qspi_rd; expect(word1[31:24]);
xfer_end;
$display("Continous Quad I/O Read");
xfer_begin;
xfer_qspi_wr(offset[23:16]);
xfer_qspi_wr(offset[15:8]);
xfer_qspi_wr(offset[7:0]);
xfer_qspi_wr(8'h ff);
xfer_dummy;
xfer_qspi_rd; expect(word0[7:0]);
xfer_qspi_rd; expect(word0[15:8]);
xfer_qspi_rd; expect(word0[23:16]);
xfer_qspi_rd; expect(word0[31:24]);
xfer_qspi_rd; expect(word1[7:0]);
xfer_qspi_rd; expect(word1[15:8]);
xfer_qspi_rd; expect(word1[23:16]);
xfer_qspi_rd; expect(word1[31:24]);
xfer_end;
$display("DDR Quad I/O Read (EDh)");
xfer_begin;
xfer_spi(8'h ed);
xfer_qspi_ddr_wr(offset[23:16]);
xfer_qspi_ddr_wr(offset[15:8]);
xfer_qspi_ddr_wr(offset[7:0]);
xfer_qspi_ddr_wr(8'h a5);
xfer_dummy;
xfer_qspi_ddr_rd; expect(word0[7:0]);
xfer_qspi_ddr_rd; expect(word0[15:8]);
xfer_qspi_ddr_rd; expect(word0[23:16]);
xfer_qspi_ddr_rd; expect(word0[31:24]);
xfer_qspi_ddr_rd; expect(word1[7:0]);
xfer_qspi_ddr_rd; expect(word1[15:8]);
xfer_qspi_ddr_rd; expect(word1[23:16]);
xfer_qspi_ddr_rd; expect(word1[31:24]);
xfer_end;
$display("Continous DDR Quad I/O Read");
xfer_begin;
xfer_qspi_ddr_wr(offset[23:16]);
xfer_qspi_ddr_wr(offset[15:8]);
xfer_qspi_ddr_wr(offset[7:0]);
xfer_qspi_ddr_wr(8'h ff);
xfer_dummy;
xfer_qspi_ddr_rd; expect(word0[7:0]);
xfer_qspi_ddr_rd; expect(word0[15:8]);
xfer_qspi_ddr_rd; expect(word0[23:16]);
xfer_qspi_ddr_rd; expect(word0[31:24]);
xfer_qspi_ddr_rd; expect(word1[7:0]);
xfer_qspi_ddr_rd; expect(word1[15:8]);
xfer_qspi_ddr_rd; expect(word1[23:16]);
xfer_qspi_ddr_rd; expect(word1[31:24]);
xfer_end;
#5;
if (errcount) begin
$display("FAIL");
$stop;
end else begin
$display("PASS");
end
end
endmodule
|