aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/icestorm/build.sh
blob: dcd6fb6075178e1989d16e49a3465957a6360663 (plain)
1
2
3
4
5
#!/bin/bash
set -ex
yosys -ql synth.log -p 'synth_ice40 -blif synth.blif' ../../picorv32.v
arachne-pnr -d 8k -o synth.txt synth.blif
icepack synth.txt synth.bin