aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/icestorm/build.sh
blob: cdefd041a51321c5c8b5bbfa27a2f0895289fbe7 (plain)
1
2
3
4
5
6
#!/bin/bash
set -ex
echo -n > firmware.hex
yosys -l synth.log -p 'synth_ice40 -top top -blif synth.blif' ../../picorv32.v top.v
arachne-pnr -d 8k -o synth.txt synth.blif
icepack synth.txt synth.bin