aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/synth_vivado.tcl
blob: 168572149b244906cdfd6a80c388610707e294a6 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18

# vivado -nojournal -log synth_vivado.log -mode batch -source synth_vivado.tcl

read_verilog synth_vivado_soc.v
read_verilog ../picorv32.v
read_xdc synth_vivado.xdc

synth_design -part xc7a15t-csg324 -top picorv32_axi
# synth_design -part xc7a15t-csg324 -top test_soc
opt_design
place_design
route_design

report_utilization
report_timing

write_verilog -force synth_vivado_syn.v