aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/vivado/synth_area.tcl
blob: 3f5264464b3c3839c63f997c5cc05c446b4e242a (plain)
1
2
3
4
5
6
7
8
9
10
11
12

read_verilog ../../picorv32.v
read_xdc synth_area.xdc

synth_design -part xc7k70t-fbg676 -top picorv32_axi
opt_design

report_utilization
# report_timing

write_verilog -force synth_area.v