aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/vivado/synth_speed.tcl
blob: d9515238f78ab8329d9d16f425eef5339a849757 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14

read_verilog ../../picorv32.v
read_xdc synth_speed.xdc

synth_design -part xc7a15t-fgg484 -top picorv32_axi
opt_design
place_design
route_design

report_utilization
report_timing

write_verilog -force synth_speed.v