aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/vivado/synth_speed.tcl
blob: 8e1c8b473930841df93f1ac40ea9e7cddf85682c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14

read_verilog ../../picorv32.v
read_xdc synth_speed.xdc

synth_design -part xc7a15t-csg324 -top picorv32_axi
opt_design
place_design
route_design

report_utilization
report_timing

write_verilog -force synth_speed.v