aboutsummaryrefslogtreecommitdiffstats
path: root/spiflash/testbench.v
blob: 3a61414f4f4f44f845ebfec954553119ac07a5f8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
module testbench;
	reg clk = 1;
	always #5 clk = ~clk;

	initial begin
		$dumpfile("testbench.vcd");
		$dumpvars(0, testbench);
		repeat (100000) @(posedge clk);
		$display("");
		$display("[TIMEOUT]");
		$stop;
	end

	wire [31:0] gpio_i = 0;
	wire [31:0] gpio_o;

	wire spi_cs;
	wire spi_sclk;
	wire spi_mosi;
	wire spi_miso;

	always @(gpio_o) begin
		$write("<GPIO:%02x>", gpio_o[7:0]);
		if (gpio_o == 63) begin
			$display("[OK]");
			$finish;
		end
		if (gpio_o % 8 == 7) begin
			$display("");
		end
	end

	top uut (
		.clk     (clk     ),
		.gpio_i  (gpio_i  ),
		.gpio_o  (gpio_o  ),
		.spi_cs  (spi_cs  ),
		.spi_sclk(spi_sclk),
		.spi_mosi(spi_mosi),
		.spi_miso(spi_miso)
	);

	spiflash spiflash (
		.spi_cs  (spi_cs  ),
		.spi_sclk(spi_sclk),
		.spi_mosi(spi_mosi),
		.spi_miso(spi_miso)
	);
endmodule