summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorJohn Wickerson <j.wickerson@imperial.ac.uk>2022-01-17 16:17:27 +0000
committernode <node@git-bridge-prod-0>2022-01-17 16:18:45 +0000
commit02602176944df1a9caabeea44e6591b9097ff024 (patch)
tree2ee56971619498d0d4d90385ff11765241563595
parent2bf6554d29d4aa8d9002f86ae5386b41293d8968 (diff)
downloadfccm22_rsvhls-02602176944df1a9caabeea44e6591b9097ff024.tar.gz
fccm22_rsvhls-02602176944df1a9caabeea44e6591b9097ff024.zip
Update on Overleaf.
-rw-r--r--references.bib29
1 files changed, 16 insertions, 13 deletions
diff --git a/references.bib b/references.bib
index 4a2b791..a3c76b9 100644
--- a/references.bib
+++ b/references.bib
@@ -1,16 +1,19 @@
-@article{Herklotz2020,
- abstract = {High-level synthesis (HLS), which refers to the automatic compilation of software into hardware, is rapidly gaining popularity. In a world increasingly reliant on application-specific hardware accelerators, HLS promises hardware designs of comparable performance and energy efficiency to those coded by hand in a hardware description language such as Verilog, while maintaining the convenience and the rich ecosystem of software development. However, current HLS tools cannot always guarantee that the hardware designs they produce are equivalent to the software they were given, thus undermining any reasoning conducted at the software level. Furthermore, there is mounting evidence that existing HLS tools are quite unreliable, sometimes generating wrong hardware or crashing when given valid inputs. To address this problem, we present the first HLS tool that is mechanically verified to preserve the behaviour of its input software. Our tool, called Vericert, extends the CompCert verified C compiler with a new hardware-oriented intermediate language and a Verilog back end, and has been proven correct in Coq. Vericert supports most C constructs, including all integer operations, function calls, local arrays, structs, unions, and general control-flow statements. An evaluation on the PolyBench/C benchmark suite indicates that Vericert generates hardware that is around an order of magnitude slower (only around 2\texttimes{} slower in the absence of division) and about the same size as hardware generated by an existing, optimising (but unverified) HLS tool.},
- author = {Herklotz, Yann and Pollard, James D. and Ramanathan, Nadesh and Wickerson, John},
- location = {New York, NY, USA},
- publisher = {Association for Computing Machinery},
- url = {https://doi.org/10.1145/3485494},
- date = {2021-10},
- doi = {10.1145/3485494},
- journaltitle = {Proc. ACM Program. Lang.},
- keywords = {high-level synthesis,Coq,Verilog,CompCert,C},
- number = {OOPSLA},
- title = {Formal Verification of High-Level Synthesis},
- volume = {5}
+@article{vericert,
+ author = {Yann Herklotz and
+ James D. Pollard and
+ Nadesh Ramanathan and
+ John Wickerson},
+ title = {Formal verification of high-level synthesis},
+ journal = {Proc. {ACM} Program. Lang.},
+ volume = {5},
+ number = {{OOPSLA}},
+ pages = {1--30},
+ year = {2021},
+ url = {https://doi.org/10.1145/3485494},
+ doi = {10.1145/3485494},
+ timestamp = {Sat, 08 Jan 2022 02:21:39 +0100},
+ biburl = {https://dblp.org/rec/journals/pacmpl/HerklotzPRW21.bib},
+ bibsource = {dblp computer science bibliography, https://dblp.org}
}
@misc{intel_hls,