summaryrefslogtreecommitdiffstats
path: root/presentation/ExampleRun/output2/fuzz_1/equiv_identity_yosys/top.v
blob: 0700c08b3fbc5befe5ddc843b805246c7ff0cc37 (plain)
1
2
3
4
5
6
7
8
module top (y_1, y_2, clk, wire0, wire1, wire2, wire3);
  top_gen top_gen (.y(y_1), .clk(clk), .wire0(wire0), .wire1(wire1), .wire2(wire2), .wire3(wire3));
  top_syn top_syn (.y(y_2), .clk(clk), .wire0(wire0), .wire1(wire1), .wire2(wire2), .wire3(wire3));
  always
    @(posedge clk) begin
      assert ((y_1 == y_2));
    end
endmodule