summaryrefslogtreecommitdiffstats
path: root/algorithm.tex
diff options
context:
space:
mode:
authorJohn Wickerson <j.wickerson@imperial.ac.uk>2021-04-16 09:08:43 +0000
committeroverleaf <overleaf@localhost>2021-04-16 09:09:36 +0000
commit1a63ce7aaf1f68437c67ba817e1ad8cdbf76d0ea (patch)
tree53cadf8cc5785d8e228c9e66940df0dc3e96b3db /algorithm.tex
parent84fc88390e37b82a8dbdd54889736b03ea00f0ef (diff)
downloadoopsla21_fvhls-1a63ce7aaf1f68437c67ba817e1ad8cdbf76d0ea.tar.gz
oopsla21_fvhls-1a63ce7aaf1f68437c67ba817e1ad8cdbf76d0ea.zip
Update on Overleaf.
Diffstat (limited to 'algorithm.tex')
-rw-r--r--algorithm.tex4
1 files changed, 2 insertions, 2 deletions
diff --git a/algorithm.tex b/algorithm.tex
index 8a619e4..c81cbfa 100644
--- a/algorithm.tex
+++ b/algorithm.tex
@@ -113,7 +113,7 @@ module main(reset, clk, finish, return_val);
reg [0:0] en = 0, u_en = 0;
reg [31:0] state = 0, reg_2 = 0, reg_4 = 0, d_out = 0, reg_1 = 0;
reg [31:0] stack [1:0];
- // RAM Template
+ // RAM template
always @(negedge clk)
if ({u_en != en}) begin
if (wr_en) stack[addr] <= d_in;
@@ -149,7 +149,7 @@ module main(reset, clk, finish, return_val);
endcase
endmodule
\end{minted}
-\caption{Verilog produced by \vericert{}. It demonstrates the instantiation of the RAM, the data-path and finally the control logic.}\label{fig:accumulator_v}
+\caption{Verilog produced by \vericert{}. It demonstrates the instantiation of the RAM (lines 9--15), the data-path (lines 16--32) and the control logic (lines 33--42).}\label{fig:accumulator_v}
\end{subfigure}
\caption{Translating a simple program from C to Verilog.}\label{fig:accumulator_c_rtl}
\end{figure}