summaryrefslogtreecommitdiffstats
path: root/verilog.tex
diff options
context:
space:
mode:
authorYann Herklotz <git@yannherklotz.com>2021-04-16 20:26:34 +0100
committerYann Herklotz <git@yannherklotz.com>2021-04-16 20:26:40 +0100
commita65bdc9ee527e66cf07dd0c4dea21ad342b141b6 (patch)
tree66e79d79449eb9f11a700747b2a0414af614f31d /verilog.tex
parent7c43b3885f3b9248170974bc4c2b8a85457c6b40 (diff)
downloadoopsla21_fvhls-a65bdc9ee527e66cf07dd0c4dea21ad342b141b6.tar.gz
oopsla21_fvhls-a65bdc9ee527e66cf07dd0c4dea21ad342b141b6.zip
Add changes
Diffstat (limited to 'verilog.tex')
-rw-r--r--verilog.tex2
1 files changed, 1 insertions, 1 deletions
diff --git a/verilog.tex b/verilog.tex
index 368fd8d..8f03de3 100644
--- a/verilog.tex
+++ b/verilog.tex
@@ -62,7 +62,7 @@ The main execution of the module $\downarrow_{\text{module}}$ is split into $\do
\paragraph{Adding declarations} Explicit support for declaring inputs, outputs and internal variables was added to the semantics to make sure that the generated Verilog also contains the correct declarations. This adds some guarantees to the generated Verilog and ensures that it synthesises and simulates correctly.
-\paragraph{Removing support for external inputs to modules} Support for receiving external inputs was removed from the semantics for simplicity, as these are not needed for an HLS target. The main module in Verilog models the main function in C, and since the inputs to a C function shouldn't change during its execution, there is no need for external inputs for Verilog modules.
+\paragraph{Removing support for external inputs to modules} Support for receiving external inputs was removed from the semantics for simplicity, as these are not needed for an HLS target. The main module in Verilog models the main function in C, and since the inputs to a C function should not change during its execution, there is no need for external inputs for Verilog modules.
\paragraph{Simplifying representation of bitvectors} Finally, we use 32-bit integers to represent bitvectors rather than arrays of Booleans. This is because \vericert{} (currently) only supports types represented by 32 bits.