summaryrefslogtreecommitdiffstats
path: root/verilog.tex
diff options
context:
space:
mode:
authorYann Herklotz <git@yannherklotz.com>2021-09-08 18:55:41 +0100
committerYann Herklotz <git@yannherklotz.com>2021-09-08 18:55:41 +0100
commita68d9b19756801fb1633edd17aec2ac9c22d8444 (patch)
tree5deb0f398621309decd0c106ef7b9b67eb06b0dc /verilog.tex
parentaf5b7dd0370e7f0087b5bc58042baa71288c59bb (diff)
downloadoopsla21_fvhls-a68d9b19756801fb1633edd17aec2ac9c22d8444.tar.gz
oopsla21_fvhls-a68d9b19756801fb1633edd17aec2ac9c22d8444.zip
Some initial changes towards the final submission
Diffstat (limited to 'verilog.tex')
-rw-r--r--verilog.tex2
1 files changed, 1 insertions, 1 deletions
diff --git a/verilog.tex b/verilog.tex
index 1a1ac2f..c0eced8 100644
--- a/verilog.tex
+++ b/verilog.tex
@@ -63,7 +63,7 @@ The main execution of the module $\downarrow_{\text{module}}$ is split into $\do
\paragraph{Removing support for external inputs to modules} Support for receiving external inputs was removed from the semantics for simplicity, as these are not needed for an HLS target. The main module in Verilog models the main function in C, and since the inputs to a C function should not change during its execution, there is no need for external inputs for Verilog modules.
-\paragraph{Simplifying representation of bitvectors} Finally, we use 32-bit integers to represent bitvectors rather than arrays of Booleans. This is because \vericert{} (currently) only supports types represented by 32 bits.
+\paragraph{Simplifying representation of bitvectors} Finally, we use 32-bit integers to represent bitvectors rather than arrays of booleans. This is because \vericert{} (currently) only supports types represented by 32 bits.
\subsection{Integrating the Verilog Semantics into \compcert{}'s Model}
\label{sec:verilog:integrating}