summaryrefslogtreecommitdiffstats
path: root/verilog.tex
diff options
context:
space:
mode:
authorYann Herklotz <git@yannherklotz.com>2021-04-11 15:58:09 +0100
committerYann Herklotz <git@yannherklotz.com>2021-04-11 16:55:51 +0100
commitf1e4ebdba3c05e1c5d83eeb338c62fc3227b1a1a (patch)
tree096bb355bcbb3b465bd531cc793f7391e2c49d83 /verilog.tex
parent9c107a5bd8330ca9b5b6bdadf715b2a48dda1489 (diff)
downloadoopsla21_fvhls-f1e4ebdba3c05e1c5d83eeb338c62fc3227b1a1a.tar.gz
oopsla21_fvhls-f1e4ebdba3c05e1c5d83eeb338c62fc3227b1a1a.zip
Add new results
Diffstat (limited to 'verilog.tex')
-rw-r--r--verilog.tex2
1 files changed, 1 insertions, 1 deletions
diff --git a/verilog.tex b/verilog.tex
index c9eff1c..d0dd74c 100644
--- a/verilog.tex
+++ b/verilog.tex
@@ -57,7 +57,7 @@ which modifies one array element using blocking assignment and then a second usi
\paragraph{Removing support for external inputs to modules} Support for receiving external inputs was removed from the semantics for simplicity, as these are not needed for an HLS target. The main module in Verilog models the main function in C, and since the inputs to a C function shouldn't change during its execution, there is no need for external inputs for Verilog modules.
-\paragraph{Simplifying representation of bitvectors} Finally, we use 32-bit integers to represent bitvectors rather of arrays of Booleans. This is because \vericert{} (currently) only supports types represented by 32 bits.
+\paragraph{Simplifying representation of bitvectors} Finally, we use 32-bit integers to represent bitvectors rather than arrays of Booleans. This is because \vericert{} (currently) only supports types represented by 32 bits.
\subsection{Integrating the Verilog Semantics into \compcert{}'s Model}
\label{sec:verilog:integrating}