summaryrefslogtreecommitdiffstats
path: root/presentation/presentation.org
blob: 106232ea4c65de7b0f78182e33b0983560d45b93 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
1001
1002
1003
1004
1005
1006
1007
1008
1009
1010
1011
1012
1013
1014
1015
1016
1017
1018
1019
1020
1021
1022
1023
1024
1025
1026
1027
1028
1029
1030
1031
1032
1033
1034
1035
1036
1037
1038
1039
1040
1041
1042
1043
1044
1045
1046
1047
1048
1049
1050
1051
1052
1053
1054
1055
1056
1057
1058
1059
1060
1061
1062
1063
1064
1065
1066
1067
1068
1069
1070
1071
1072
1073
1074
1075
1076
1077
1078
1079
1080
1081
1082
1083
1084
1085
1086
1087
1088
1089
1090
1091
1092
1093
1094
1095
1096
1097
1098
1099
1100
1101
1102
1103
1104
1105
1106
1107
1108
1109
1110
1111
1112
1113
1114
1115
1116
1117
1118
1119
1120
1121
1122
1123
1124
1125
1126
1127
1128
1129
1130
1131
1132
1133
1134
1135
1136
1137
1138
1139
1140
1141
1142
1143
1144
1145
1146
1147
1148
1149
1150
1151
1152
1153
1154
1155
1156
1157
1158
1159
1160
1161
1162
1163
1164
1165
1166
1167
1168
1169
1170
1171
1172
1173
1174
1175
1176
1177
1178
1179
1180
1181
1182
1183
1184
1185
1186
1187
1188
1189
1190
1191
1192
1193
1194
1195
1196
1197
1198
1199
1200
1201
1202
1203
1204
1205
1206
1207
1208
1209
1210
1211
1212
1213
1214
1215
1216
1217
1218
1219
1220
1221
1222
1223
1224
1225
1226
1227
1228
1229
1230
1231
1232
1233
1234
1235
1236
1237
1238
1239
1240
1241
1242
1243
1244
1245
1246
1247
1248
1249
1250
1251
1252
1253
1254
1255
1256
1257
1258
1259
1260
1261
1262
1263
1264
1265
1266
1267
1268
1269
1270
1271
1272
1273
1274
1275
1276
1277
1278
1279
1280
1281
1282
1283
1284
1285
1286
1287
1288
1289
1290
1291
1292
1293
1294
1295
1296
1297
1298
1299
1300
1301
1302
1303
1304
1305
1306
1307
1308
1309
1310
1311
1312
1313
1314
1315
1316
1317
1318
1319
1320
1321
1322
1323
1324
1325
1326
1327
1328
1329
1330
1331
1332
1333
1334
1335
1336
1337
1338
1339
1340
1341
1342
1343
1344
1345
1346
1347
1348
1349
1350
1351
1352
1353
1354
1355
1356
1357
1358
1359
1360
1361
1362
1363
1364
1365
1366
1367
1368
1369
1370
1371
1372
1373
1374
1375
1376
1377
1378
1379
1380
1381
1382
1383
1384
1385
1386
1387
1388
1389
1390
1391
1392
1393
1394
1395
1396
1397
1398
1399
1400
1401
1402
1403
1404
1405
1406
1407
1408
1409
1410
1411
1412
1413
1414
1415
1416
1417
1418
1419
1420
1421
1422
1423
1424
1425
1426
1427
1428
1429
1430
1431
1432
1433
1434
1435
1436
1437
1438
1439
1440
1441
1442
1443
1444
1445
1446
1447
1448
1449
1450
1451
1452
1453
1454
1455
1456
1457
1458
1459
1460
1461
1462
1463
1464
1465
1466
1467
1468
1469
1470
1471
1472
1473
1474
1475
1476
1477
1478
1479
1480
1481
1482
1483
1484
1485
1486
1487
1488
1489
1490
1491
1492
1493
1494
1495
1496
1497
1498
1499
1500
1501
1502
1503
1504
1505
1506
1507
1508
1509
1510
1511
1512
1513
1514
1515
1516
1517
1518
1519
1520
1521
1522
1523
1524
1525
1526
1527
1528
1529
1530
1531
1532
1533
1534
1535
1536
1537
1538
1539
1540
1541
1542
1543
1544
1545
1546
1547
1548
1549
1550
1551
1552
1553
1554
1555
1556
1557
1558
1559
1560
1561
1562
1563
1564
1565
1566
1567
1568
1569
1570
1571
1572
1573
1574
1575
1576
1577
1578
1579
1580
1581
1582
1583
1584
1585
1586
1587
1588
1589
1590
1591
1592
1593
1594
1595
1596
1597
1598
1599
1600
1601
1602
1603
1604
1605
1606
1607
1608
1609
1610
1611
1612
1613
1614
1615
1616
1617
1618
1619
1620
1621
1622
1623
1624
1625
1626
1627
1628
1629
1630
1631
1632
1633
1634
1635
1636
1637
1638
1639
1640
1641
1642
1643
1644
1645
1646
1647
1648
1649
1650
1651
1652
1653
1654
1655
1656
1657
1658
1659
1660
1661
1662
1663
1664
1665
1666
1667
1668
1669
1670
1671
1672
1673
1674
1675
1676
1677
1678
1679
1680
1681
1682
1683
1684
1685
1686
1687
1688
1689
1690
1691
1692
1693
1694
1695
1696
1697
1698
1699
1700
1701
1702
1703
1704
1705
1706
1707
1708
1709
1710
1711
1712
1713
1714
1715
1716
1717
1718
1719
1720
1721
1722
1723
1724
1725
1726
1727
1728
1729
1730
1731
1732
1733
1734
1735
1736
1737
1738
1739
1740
1741
1742
1743
1744
1745
1746
1747
1748
1749
1750
1751
1752
1753
1754
1755
1756
1757
1758
1759
1760
1761
1762
1763
1764
1765
1766
1767
1768
1769
1770
1771
1772
1773
1774
1775
1776
1777
1778
1779
1780
1781
1782
1783
1784
1785
1786
1787
1788
1789
1790
1791
1792
1793
1794
1795
1796
1797
1798
1799
1800
1801
1802
1803
1804
1805
1806
1807
1808
1809
1810
1811
1812
1813
1814
1815
1816
1817
1818
1819
1820
1821
1822
1823
1824
1825
1826
1827
1828
1829
1830
1831
1832
1833
1834
1835
1836
1837
1838
1839
1840
1841
1842
1843
1844
1845
1846
1847
1848
1849
1850
1851
1852
1853
1854
1855
1856
1857
1858
1859
1860
1861
1862
1863
1864
1865
1866
1867
1868
1869
1870
1871
1872
1873
1874
1875
1876
1877
1878
1879
1880
1881
1882
1883
1884
1885
1886
1887
1888
1889
1890
1891
1892
1893
1894
1895
1896
1897
1898
1899
1900
1901
1902
1903
1904
1905
1906
1907
1908
1909
1910
1911
1912
1913
1914
1915
1916
1917
1918
1919
1920
1921
1922
1923
1924
1925
1926
1927
1928
1929
1930
1931
1932
1933
1934
1935
1936
1937
1938
1939
1940
1941
1942
1943
1944
1945
1946
1947
1948
1949
1950
1951
1952
1953
1954
1955
1956
1957
1958
1959
1960
1961
1962
1963
1964
1965
1966
1967
1968
1969
1970
1971
1972
1973
1974
1975
1976
1977
1978
1979
1980
1981
1982
1983
1984
1985
1986
1987
1988
1989
1990
1991
1992
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
2019
2020
2021
2022
2023
2024
2025
2026
2027
2028
2029
2030
2031
2032
2033
2034
2035
2036
2037
2038
2039
2040
2041
2042
2043
2044
2045
2046
2047
2048
2049
2050
2051
2052
2053
2054
2055
2056
2057
2058
2059
2060
2061
2062
2063
2064
2065
2066
2067
2068
2069
2070
2071
2072
2073
2074
2075
2076
2077
2078
2079
2080
2081
2082
2083
2084
2085
2086
2087
2088
2089
2090
2091
2092
2093
2094
2095
2096
2097
2098
2099
2100
2101
2102
2103
2104
2105
2106
2107
2108
2109
2110
2111
2112
2113
2114
2115
2116
2117
2118
2119
2120
2121
2122
2123
2124
2125
2126
2127
2128
2129
2130
2131
2132
2133
2134
2135
2136
2137
2138
2139
2140
2141
2142
2143
2144
2145
2146
2147
2148
2149
2150
2151
2152
2153
2154
2155
2156
2157
2158
2159
2160
2161
2162
2163
2164
2165
2166
2167
2168
2169
2170
2171
2172
2173
2174
2175
2176
2177
2178
2179
2180
2181
2182
2183
2184
2185
2186
2187
2188
2189
2190
2191
2192
2193
2194
2195
2196
2197
2198
2199
2200
2201
2202
2203
2204
2205
2206
2207
2208
2209
2210
2211
2212
2213
2214
2215
2216
2217
2218
2219
2220
2221
2222
2223
2224
2225
2226
2227
2228
2229
2230
2231
2232
2233
2234
2235
2236
2237
2238
2239
2240
2241
2242
2243
2244
2245
2246
2247
2248
2249
2250
2251
2252
2253
2254
2255
2256
2257
2258
2259
2260
2261
2262
2263
2264
2265
2266
2267
2268
2269
2270
2271
2272
2273
2274
2275
2276
2277
2278
2279
2280
2281
2282
2283
2284
2285
2286
2287
2288
2289
2290
2291
2292
2293
2294
2295
2296
2297
2298
2299
2300
2301
2302
2303
2304
2305
2306
2307
2308
2309
2310
2311
2312
2313
2314
2315
2316
2317
2318
2319
2320
2321
2322
2323
2324
2325
2326
2327
2328
2329
2330
2331
2332
2333
2334
2335
2336
2337
2338
2339
2340
2341
2342
2343
2344
2345
2346
2347
2348
2349
2350
2351
2352
2353
2354
2355
2356
2357
2358
2359
2360
2361
2362
2363
2364
2365
2366
2367
2368
2369
2370
2371
2372
2373
2374
2375
2376
2377
2378
2379
2380
2381
2382
2383
2384
2385
2386
2387
2388
2389
2390
2391
2392
2393
2394
2395
2396
2397
2398
2399
2400
2401
2402
2403
2404
2405
2406
2407
2408
2409
2410
2411
2412
2413
2414
2415
2416
2417
2418
2419
2420
2421
2422
2423
2424
2425
2426
2427
2428
2429
2430
2431
2432
2433
2434
2435
2436
2437
2438
2439
2440
2441
2442
2443
2444
2445
2446
2447
2448
2449
2450
2451
2452
2453
2454
2455
2456
2457
2458
2459
2460
2461
2462
2463
2464
2465
2466
2467
2468
2469
2470
2471
2472
2473
2474
2475
2476
2477
2478
2479
2480
2481
2482
2483
2484
2485
2486
2487
2488
2489
2490
2491
2492
2493
2494
2495
2496
2497
2498
2499
2500
2501
2502
2503
2504
2505
2506
2507
2508
2509
2510
2511
2512
2513
2514
2515
2516
2517
2518
2519
2520
2521
2522
2523
2524
2525
2526
2527
2528
2529
2530
2531
2532
2533
2534
2535
2536
2537
2538
2539
2540
2541
2542
2543
2544
2545
2546
2547
2548
2549
2550
2551
2552
2553
2554
2555
2556
2557
2558
2559
2560
2561
2562
2563
2564
2565
2566
2567
2568
2569
2570
2571
2572
2573
2574
2575
2576
2577
2578
2579
2580
2581
2582
2583
2584
2585
2586
2587
2588
2589
2590
2591
2592
2593
2594
2595
2596
2597
2598
2599
2600
#+title: Formal Verification of High-Level Synthesis
#+author: \underline{Yann Herklotz}, James D. Pollard, Nadesh Ramanathan, John Wickerson
#+options: H:2 toc:nil
#+columns: %45ITEM %10BEAMER_ENV(Env) %10BEAMER_ACT(Act) %4BEAMER_COL(Col)
#+setupfile: setup.org

** The Need to Design Hardware Accelerators

Application-specific hardware accelerators are increasingly being needed in industries.

*** Column 1
:PROPERTIES:
:BEAMER_ENV: column
:BEAMER_COL: 0.5
:END:

#+attr_beamer: :overlay <+->
- Using a *CPU* everywhere not always the best choice.
- *Application-specific integrated circuits (ASIC)* are the ideal choice, but very expensive to
  create.
- *Field-programmable gate arrays (FPGA)* act as *reprogrammable hardware*, therefore can be made
  application-specific.

*** Column 2
:PROPERTIES:
:BEAMER_ENV: column
:BEAMER_COL: 0.5
:END:

**** Minipage
:PROPERTIES:
:BEAMER_ENV: minipage
:BEAMER_OPT: 5cm
:END:

#+beamer: \vspace{2em}

***** Only 1
:PROPERTIES:
:BEAMER_ENV: onlyenvNH
:BEAMER_ACT: 1
:END:

#+begin_export latex
\includegraphics[width=6cm]{assets/database-cpu.pdf}
#+end_export

***** Only 2
:PROPERTIES:
:BEAMER_ENV: onlyenvNH
:BEAMER_ACT: 2
:END:

#+begin_export latex
\includegraphics[width=6cm]{assets/database-asic.pdf}
#+end_export

***** Only 3
:PROPERTIES:
:BEAMER_ENV: onlyenvNH
:BEAMER_ACT: 3-
:END:

#+begin_export latex
\includegraphics[width=6cm]{assets/database-fpga.pdf}
#+end_export

** Where does the flexibility of FPGAs come from?

#+attr_beamer: :overlay <+->
- FPGA's are programmable circuits with two main components.
- *Look up tables (LUTs)* provide flexible logic gates.  They are connected by *configurable switches*.
- *RAMs* provide accessible storage.

*** Minipage
:PROPERTIES:
:BEAMER_ENV: minipage
:BEAMER_OPT: 5cm
:END:

#+beamer: \centering
#+beamer: \vspace{0.2em}

**** Only 1
:PROPERTIES:
:BEAMER_ENV: onlyenvNH
:BEAMER_ACT: <1>
:END:

#+begin_export latex
\includegraphics[width=8cm]{assets/fpga.pdf}
#+end_export

**** Only 2
:PROPERTIES:
:BEAMER_ENV: onlyenvNH
:BEAMER_ACT: <2>
:END:

#+begin_export latex
\includegraphics[width=8cm]{assets/fpga-expl.pdf}
#+end_export

**** Only 3
:PROPERTIES:
:BEAMER_ENV: onlyenvNH
:BEAMER_ACT: <3>
:END:

#+begin_export latex
\centering
\includegraphics[width=8cm]{assets/fpga-expl2.pdf}
#+end_export

** So How do we Program an FPGA?

*** Code example :B_column:
:PROPERTIES:
:BEAMER_ENV: column
:BEAMER_COL: 0.5
:END:

**** Top
:PROPERTIES:
:BEAMER_ENV: minipage
:BEAMER_OPT: 4.5cm
:END:

#+attr_beamer: :overlay <+->
- FPGAs contain *LUTs* and programmable interconnects.
- Programmed using *hardware description languages*.
- Simulation quite slow.
- High-Level Synthesis is an alternative.
- Faster testing through execution.

**** Bottom
:PROPERTIES:
:BEAMER_ENV: minipage
:BEAMER_OPT: 2.5cm
:END:

#+beamer: \vspace{1em}

***** Only 2
:PROPERTIES:
:BEAMER_ENV: onlyenvNH
:BEAMER_ACT: <2>
:END:

#+begin_export latex
\includegraphics[width=0.5cm]{assets/up-arrow.pdf} Fine control \hspace{1em}
\includegraphics[width=0.5cm]{assets/down-arrow.pdf} Long to design
#+end_export

***** Only 3
:PROPERTIES:
:BEAMER_ENV: onlyenvNH
:BEAMER_ACT: <4>
:END:

#+begin_export latex
\includegraphics[width=0.5cm]{assets/up-arrow.pdf} Quick to design \hspace{1em}
\includegraphics[width=0.5cm]{assets/down-arrow.pdf} Less control
#+end_export

*** Code example :B_column:
:PROPERTIES:
:BEAMER_ENV: column
:BEAMER_COL: 0.5
:END:

**** Minipage
:PROPERTIES:
:BEAMER_ENV: minipage
:BEAMER_OPT: 5cm
:END:
***** FPGA
:PROPERTIES:
:BEAMER_ENV: onlyenvNH
:BEAMER_ACT: <1>
:END:

#+begin_export latex
\includegraphics[width=6.8cm]{assets/fpga-flow.pdf}
#+end_export

***** Verilog
:PROPERTIES:
:BEAMER_ENV: onlyenvNH
:BEAMER_ACT: <2>
:END:

#+begin_export latex
\includegraphics[width=6.8cm]{assets/verilog-flow.pdf}
#+end_export

***** Verilog Simulation
:PROPERTIES:
:BEAMER_ENV: onlyenvNH
:BEAMER_ACT: <3>
:END:

#+begin_export latex
\includegraphics[width=6.8cm]{assets/verilog-flow-testing.pdf}
#+end_export

***** HLS
:PROPERTIES:
:BEAMER_ENV: onlyenvNH
:BEAMER_ACT: <4>
:END:

#+begin_export latex
\includegraphics[width=6.8cm]{assets/hls-flow.pdf}
#+end_export

***** HLS Testing
:PROPERTIES:
:BEAMER_ENV: onlyenvNH
:BEAMER_ACT: <5>
:END:

#+begin_export latex
\includegraphics[width=6.8cm]{assets/hls-flow-testing.pdf}
#+end_export

** What is High-Level Synthesis :noexport:

*** High-Level Synthesis (HLS)
:PROPERTIES:
:BEAMER_ENV: definition
:END:

Translation of a high-level programming language such as C/C++ into a hardware description language (HDL) such as Verilog.

*** Benefits of HLS :B_blockNH:
:PROPERTIES:
:BEAMER_env: block
:BEAMER_ACT: <2->
:END:

- *Usability*: Use software ecosystem.
- *Speed*: Quickly design hardware.

*** Trade-offs of HLS
:PROPERTIES:
:BEAMER_ENV: block
:BEAMER_ACT: <3->
:END:

- *Performance*: Requires automatic parallelisation.
- *Correctness*: Hard to verify generated HDL.

** Motivation for Formal Verification

*** HLS Difficulties :B_blockNH:
:PROPERTIES:
:BEAMER_env: blockNH
:END:

Difficult to debug HLS tools:

- Simulation can take a long time.
- Correctness is important in hardware, testing is done at every level.

*** HLS Unreliable :B_blockNH:
:PROPERTIES:
:BEAMER_env: blockNH
:BEAMER_ACT: <2>
:END:

High-level synthesis is often quite unreliable:

- We fuzzed HLS tools (cite:9444067) and found they failed on *2.5%* of simple random test cases.

** Solution :B_frame:
:PROPERTIES:
:BEAMER_env: frame
:BEAMER_OPT: t
:END:

#+begin_export latex
\definecolor{compcert}{HTML}{bebada}
\definecolor{formalhls}{HTML}{8dd3c7}
\begin{center}
  \begin{tikzpicture}
    [language/.style={fill=white,rounded corners=3pt,minimum height=7mm},
    continuation/.style={},
    linecount/.style={rounded corners=3pt,dashed},scale=0.8]
    \fill[compcert,rounded corners=3pt] (-1.2,-0.5) rectangle (14,2);
    \fill[formalhls,rounded corners=3pt] (-1.2,-1) rectangle (14,-2.4);
    %\draw[linecount] (-0.95,-0.45) rectangle (3.6,1);
    %\draw[linecount] (4,-0.45) rectangle (7.5,1);
    \node[language] at (-0.3,0) (clight) {Clight};
    \node[continuation] at (1.5,0) (conta) {$\cdots$};
    \node[language] at (3.5,0) (cminor) {CminorSel};
    \node[language] at (6,0) (rtl) {3AC};
    \node[language] at (8,0) (ltl) {LTL};
    \node[language,anchor=west] at (11.5,0) (aarch) {aarch64};
    \node[language,anchor=west] at (11.5,0.8) (x86) {x86};
    \node[continuation,anchor=west] at (11.5,1.4) (backs) {$\cdots$};
    \node[continuation] at (10,0) (contb) {$\cdots$};
    \node[language] at (6,-1.5) (htl) {HTL};
    \node[language] at (9,-1.5) (verilog) {Verilog};
    \node[anchor=west] at (-0.9,1.6) {\bf CompCert};
    \node[anchor=west] at (-0.9,-1.4) {\bf Vericert};
    %%\node[anchor=west] at (-0.9,0.7) {\small $\sim$ 27 kloc};
    %%\node[anchor=west] at (4.1,0.7) {\small $\sim$ 46 kloc};
    %%\node[anchor=west] at (2,-1.5) {\small $\sim$ 17 kloc};
    \node[align=center] at (4,-2) {\footnotesize RAM\\[-0.5em]\footnotesize insertion};
    \draw[->,thick] (clight) -- (conta);
    \draw[->,thick] (conta) -- (cminor);
    \draw[->,thick] (cminor) -- (rtl);
    \draw[->,thick] (rtl) -- (ltl);
    \draw[->,thick] (ltl) -- (contb);
    \draw[->,thick] (contb) -- (aarch);
    \draw[->,thick] (contb) to [out=0,in=200] (x86.west);
    \draw[->,thick] (contb) to [out=0,in=190] (backs.west);
    \draw[->,thick] (rtl) -- (htl);
    \draw[->,thick] (htl) -- (verilog);
    \draw[->,thick] (htl.west) to [out=180,in=150] (5,-2.2) to [out=330,in=270] (htl.south);
  \end{tikzpicture}%}
\end{center}
#+end_export

#+beamer: \vspace{1em}

*** Minipage
:PROPERTIES:
:BEAMER_ENV: minipage
:BEAMER_OPT: 5cm
:END:

**** Block 1 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <1>
:END:

Use CompCert, a fully verified C compiler, and add an HLS backend.

**** Block 2 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <2>
:END:

Support for: all *control flow*, *fixedpoint*, *non-recursive functions* and *local arrays/structs/unions*.

* Example
** Example: 3AC :B_frame:

*** Code example :B_column:
:PROPERTIES:
:BEAMER_ENV: column
:BEAMER_COL: 0.45
:END:

**** Code 1 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_ACT: <1>
:BEAMER_env: onlyenvNH
:END:

#+begin_src C
int main() {
    int x[2] = {3, 6};
    int i = 1;
    return x[i];
}
#+end_src

**** Explanation 5 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <2>
:END:

- *Three address code (3AC)* instructions are represented as a control-flow graph (CFG).
- Each instruction links to the next one.

*** Text :B_column:
:PROPERTIES:
:BEAMER_ENV: column
:BEAMER_COL: 0.45
:END:

**** Text 1 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_ACT: <1>
:BEAMER_ENV: onlyenvNH
:END:

- Example of a very simple program performing loads and stores.

**** 3AC Code 5 :B_onlyenv:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <2>
:END:

#+attr_latex: :options fontsize=\small
#+begin_src C
main() {
    x5 = 3
    int32[stack(0)] = x5
    x4 = 6
    int32[stack(4)] = x4
    x1 = 1
    x3 = stack(0) (int)
    x2 = int32[x3 + x1 * 4 + 0]
    return x2
}
#+end_src

** HTL Overview

The representation of the *finite state-machine with datapath* is abstract and called **HTL**.

*** Datapath and control logic :B_blockNH:
:PROPERTIES:
:BEAMER_env: blockNH
:END:

src_coq[:exports code]{Definition datapath := } $\mathbb{Z}^+ \mapsto \texttt{\small Verilog.stmnt}$

src_coq[:exports code]{Definition controllogic := } $\mathbb{Z}^+ \mapsto \texttt{\small
Verilog.stmnt}$

*** Minipage
:PROPERTIES:
:BEAMER_ENV: minipage
:BEAMER_OPT: 5cm
:END:

**** Module definition :B_blockNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 2
:END:

#+beamer: \vspace{0.25em}

#+attr_latex: :options fontsize=\footnotesize
#+begin_src coq
Record module: Type := mkmodule {
    mod_datapath: datapath;
    mod_controllogic: controllogic;
    mod_reset: reg;
    mod_ram: ram_spec;
    ...
  }.
#+end_src

**** Module definition :B_blockNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 3
:END:

#+attr_latex: :options fontsize=\footnotesize,highlightlines={2-3}
#+begin_src coq
Record module: Type := mkmodule {
    mod_datapath: datapath;
    mod_controllogic: controllogic;
    mod_reset: reg;
    mod_ram: ram_spec;
    ...
  }.
#+end_src

**** Module definition :B_blockNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 4
:END:

#+attr_latex: :options fontsize=\footnotesize,highlightlines={4}
#+begin_src coq
Record module: Type := mkmodule {
    mod_datapath: datapath;
    mod_controllogic: controllogic;
    mod_reset: reg;
    mod_ram: ram_spec;
    ...
  }.
#+end_src

**** Module definition :B_blockNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 5
:END:

#+attr_latex: :options fontsize=\footnotesize,highlightlines={5}
#+begin_src coq
Record module: Type := mkmodule {
    mod_datapath: datapath;
    mod_controllogic: controllogic;
    mod_reset: reg;
    mod_ram: ram_spec;
    ...
  }.
#+end_src

** Translation (3AC $\rightarrow$ HTL)

Translation from *control-flow graph* into a *finite state-machine with datapath*.

#+beamer: \pause
#+beamer: \vspace{1em}

#+attr_beamer: :overlay <+->
- *Control-flow* is translated into a *finite state-machine*.
- Each *3AC instructions* translated into equivalent *Verilog statements*.
- Call *stack* implemented as *Verilog array*.
- Pointers for loads and stores translated to array addresses.
  - *Byte* addressed to *word* addressed.

#+beamer: \vspace{1em}

*** Minipage :B_minipage:noexport:
:PROPERTIES:
:BEAMER_env: minipage
:BEAMER_OPT: 5cm
:END:

**** Only 1 :B_onlyenvNH:noexport:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 3
:END:

~x3 = x3 + x5 + 0~ $\quad\longrightarrow\quad$ src_verilog[:exports code]{reg_3 <= {reg_3 + {reg_5 + 0}}}

**** Only 2 :B_onlyenvNH:noexport:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 5-6
:END:

~x5 + x1 * 4 + 0~ $\quad\longrightarrow\quad$ src_verilog[:exports code]{{{{reg_5 + 0} + {reg_1 * 4}} / 4}}

** Memory Inference Pass

- An HTL $\rightarrow$ HTL translation removes loads and stores.
- Replaced by accesses to a proper *RAM*.

*** Minipage
:PROPERTIES:
:BEAMER_COL: 0.5
:END:


#+beamer: \vspace{1em}

src_verilog[:exports code]{stack[reg_5 / 4]}

#+beamer: \vspace{1em}

becomes

#+beamer: \vspace{1em}

**** Minipage
:PROPERTIES:
:BEAMER_ENV: minipage
:BEAMER_OPT: 5cm
:END:

***** Only 1
:PROPERTIES:
:BEAMER_ENV: onlyenvNH
:BEAMER_ACT: 1
:END:

#+beamer: \vspace{0.25em}

#+attr_latex: :options fontsize=\footnotesize
#+begin_src verilog
u_en <= ( ~ u_en);
wr_en <= 0;
addr <= reg_5 / 4;
#+end_src

***** Only 2
:PROPERTIES:
:BEAMER_ENV: onlyenvNH
:BEAMER_ACT: 2
:END:

#+attr_latex: :options fontsize=\footnotesize,highlightlines={1}
#+begin_src verilog
u_en <= ( ~ u_en);
wr_en <= 0;
addr <= reg_5 / 4;
#+end_src

***** Only 3
:PROPERTIES:
:BEAMER_ENV: onlyenvNH
:BEAMER_ACT: 3
:END:

#+attr_latex: :options fontsize=\footnotesize,highlightlines={2}
#+begin_src verilog
u_en <= ( ~ u_en);
wr_en <= 0;
addr <= reg_5 / 4;
#+end_src

***** Only 4
:PROPERTIES:
:BEAMER_ENV: onlyenvNH
:BEAMER_ACT: 4
:END:

#+attr_latex: :options fontsize=\footnotesize,highlightlines={3}
#+begin_src verilog
u_en <= ( ~ u_en);
wr_en <= 0;
addr <= reg_5 / 4;
#+end_src


** Verilog Syntax :noexport:

*** Column 1 :B_column:
:PROPERTIES:
:BEAMER_COL: 0.45
:END:

**** No highlight :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <1>
:END:

#+attr_latex: :options fontsize=\footnotesize
#+begin_src verilog
module top(input clk, input [31:0] in1,
           output reg [31:0] out1);
   reg [31:0] reg_1, tmp;

   always @(posedge clk) begin
      reg1 <= in1;
   end

   always @(posedge clk) begin
      tmp = reg1;
      out1 <= tmp;
   end
endmodule
#+end_src

**** Highlight :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <2>
:END:

#+attr_latex: :options highlightlines={5-7,9-12},fontsize=\footnotesize
#+begin_src verilog
module top(input clk, input [31:0] in1,
           output reg [31:0] out1);
   reg [31:0] reg_1, tmp;

   always @(posedge clk) begin
      reg1 <= in1;
   end

   always @(posedge clk) begin
      tmp = reg1;
      out1 <= tmp;
   end
endmodule
#+end_src

*** Column 2
:PROPERTIES:
:BEAMER_COL: 0.55
:END:

#+attr_beamer: :overlay <+->
- Verilog example for a simple shift register.
- Always block run in parallel


** Translation (HTL $\rightarrow$ Verilog) :B_frame:

*** Column
:PROPERTIES:
:BEAMER_COL: 0.45
:END:

**** Code 1 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <1>
:END:

#+attr_latex: :options fontsize=\tiny
#+begin_src verilog
module main(reset, clk, finish, return_val);
  input [0:0] reset, clk;
  output reg [0:0] finish = 0;
  output reg [31:0] return_val = 0;
  reg [31:0] reg_3 = 0, addr = 0, d_in = 0,
             reg_5 = 0, wr_en = 0,
             state = 0, reg_2 = 0,
             reg_4 = 0, d_out = 0, reg_1 = 0;
  reg [0:0] en = 0, u_en = 0;
  reg [31:0] stack [1:0];
  // RAM interface
  always @(negedge clk)
    if ({u_en != en}) begin
      if (wr_en) stack[addr] <= d_in;
      else d_out <= stack[addr];
      en <= u_en;
    end
#+end_src

**** Code 2 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <2>
:END:

#+attr_latex: :options fontsize=\tiny,highlightlines={11-17}
#+begin_src verilog
module main(reset, clk, finish, return_val);
  input [0:0] reset, clk;
  output reg [0:0] finish = 0;
  output reg [31:0] return_val = 0;
  reg [31:0] reg_3 = 0, addr = 0, d_in = 0,
             reg_5 = 0, wr_en = 0,
             state = 0, reg_2 = 0,
             reg_4 = 0, d_out = 0, reg_1 = 0;
  reg [0:0] en = 0, u_en = 0;
  reg [31:0] stack [1:0];
  // RAM interface
  always @(negedge clk)
    if ({u_en != en}) begin
      if (wr_en) stack[addr] <= d_in;
      else d_out <= stack[addr];
      en <= u_en;
    end
#+end_src

**** Code 3 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <3>
:END:

#+attr_latex: :options fontsize=\tiny
#+begin_src verilog
  // Data-path
  always @(posedge clk)
    case (state)
      32'd11: reg_2 <= d_out;
      32'd8: reg_5 <= 32'd3;
      32'd7: begin
        u_en <= ( ~ u_en); wr_en <= 32'd1;
        d_in <= reg_5; addr <= 32'd0;
      end
      32'd6: reg_4 <= 32'd6;
      32'd5: begin
        u_en <= ( ~ u_en); wr_en <= 32'd1;
        d_in <= reg_4; addr <= 32'd1;
      end
      32'd4: reg_1 <= 32'd1;
      32'd3: reg_3 <= 32'd0;
      32'd2: begin
        u_en <= ( ~ u_en); wr_en <= 32'd0;
        addr <= {{{reg_3 + 32'd0} + {reg_1 * 32'd4}} / 32'd4};
      end
      32'd1: begin finish = 32'd1; return_val = reg_2; end
      default: ;
    endcase
#+end_src

**** Code 4 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <4>
:END:

#+attr_latex: :options fontsize=\tiny,highlightlines={7,8,12,13,18,19}
#+begin_src verilog
  // Data-path
  always @(posedge clk)
    case (state)
      32'd11: reg_2 <= d_out;
      32'd8: reg_5 <= 32'd3;
      32'd7: begin
        u_en <= ( ~ u_en); wr_en <= 32'd1;
        d_in <= reg_5; addr <= 32'd0;
      end
      32'd6: reg_4 <= 32'd6;
      32'd5: begin
        u_en <= ( ~ u_en); wr_en <= 32'd1;
        d_in <= reg_4; addr <= 32'd1;
      end
      32'd4: reg_1 <= 32'd1;
      32'd3: reg_3 <= 32'd0;
      32'd2: begin
        u_en <= ( ~ u_en); wr_en <= 32'd0;
        addr <= {{{reg_3 + 32'd0} + {reg_1 * 32'd4}} / 32'd4};
      end
      32'd1: begin finish = 32'd1; return_val = reg_2; end
      default: ;
    endcase
#+end_src

**** Code 5 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <5>
:END:

#+attr_latex: :options fontsize=\tiny
#+begin_src verilog
  // Control logic
  always @(posedge clk)
    if ({reset == 32'd1}) state <= 32'd8;
    else case (state)
           32'd11: state <= 32'd1;        32'd4: state <= 32'd3;
           32'd8: state <= 32'd7;         32'd3: state <= 32'd2;
           32'd7: state <= 32'd6;         32'd2: state <= 32'd11;
           32'd6: state <= 32'd5;         32'd1: ;
           32'd5: state <= 32'd4;         default: ;
         endcase
endmodule
#+end_src

*** Text
:PROPERTIES:
:BEAMER_COL: 0.45
:END:

**** Text 1 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_ACT: <1-5>
:BEAMER_env: onlyenvNH
:END:

#+attr_beamer: :overlay <+->
- Finally, translate the FSMD into Verilog.
- This includes a RAM interface.
- Data path is translated into a case statement.
- RAM loads and stores automatically turn off RAM.
- Control logic is translated into another case statement with a reset.

* Verification
** Verilog Semantics (Adapted from Lööw et al. (2019))

- Top-level semantics are *small-step operational semantics*.

*** Only 1
:PROPERTIES:
:BEAMER_ENV: blockNH
:BEAMER_ACT: <1->
:END:

#+begin_export latex
{\centering\includegraphics[width=8cm]{assets/clk.pdf}\par}
#+end_export

*** Only 2
:PROPERTIES:
:BEAMER_ENV: blockNH
:BEAMER_ACT: <2>
:END:

- At each clock tick, the *whole module* is executed using *big-step semantics*.

#+begin_export latex
{\centering\includegraphics[width=6cm]{assets/big-step.pdf}\par}
#+end_export

** How the Verilog semantics changed :noexport:

Changed the semantics in *5* minor ways to make it a better HLS target.

#+attr_beamer: :overlay <+->
- Verilog *2D array support*,
- add *negative edge* support,
- add support for *declarations*,
- *removed* support for *external IO*, and
- *simplifying* support for *bitvectors*.

#+beamer: \vspace{1em}

*** Minipage :B_minipage:
:PROPERTIES:
:BEAMER_env: minipage
:BEAMER_OPT: 5cm
:END:

**** Only 1 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 1
:END:

#+attr_latex: :options fontsize=\small
#+begin_src verilog
reg [31:0] x[1:0];
always @(posedge clk) begin x[0] = 1; x[1] <= 1; end
#+end_src

**** Only 2 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 2
:END:

#+begin_export latex
\begin{equation*}
\inferrule[Module]{(\Gamma, \epsilon, \vec{m})\ \downarrow_{\text{module}^{+}} (\Gamma', \Delta') \\ (\Gamma'\ //\ \Delta', \epsilon, \vec{m}) \downarrow_{\text{module}^{-}} (\Gamma'', \Delta'')}{(\Gamma, \yhkeyword{module}\ \yhconstant{main} \yhkeyword{(...);}\ \vec{m}\ \yhkeyword{endmodule}) \downarrow_{\text{program}} (\Gamma''\ //\ \Delta'')}
\end{equation*}
#+end_export

**** Only 3 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 3
:END:

Have an association map for each register to declaration.

- Information about input or output.
- Information about size.

**** Only 4 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 4
:END:

Constant inputs and outputs modelling the HLS interface.

**** Only 5 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 5
:END:

Use integers modulo $2^n$.

Those are the only types needed for HLS.

** How do we prove the HLS tool correct? :noexport:

*** Notes
:PROPERTIES:
:BEAMER_ENV: note
:END:

This describes the main proof that is needed to show that the HLS tool is correct.  I should probably be spending most of my time on this section, as that is what George will be most unfamiliar with.

*** Text 1 :B_blockNH:
:PROPERTIES:
:BEAMER_env: blockNH
:END:

#+beamer: \vspace{-1.5em}

- We have an *algorithm* describing the *translation*.
- Have to *prove* that it does not change *behaviour* with respect to our language semantics.

*** Minipage :B_minipage:
:PROPERTIES:
:BEAMER_env: minipage
:BEAMER_OPT: 5cm
:END:

**** Table :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <2>
:END:

#+attr_latex: :booktabs t :align cp{10cm}
|------------+------------------------------------------------------------------|
| Behaviour  | Guarantee                                                        |
|------------+------------------------------------------------------------------|
| Converging | Means a result is obtained, Verilog and C results must be equal. |
| Diverging  | C is in an infinite loop, Verilog must execute indefinitely.     |
| Wrong      | Such as undefined behaviour, no guarantees need to be shown.     |
|------------+------------------------------------------------------------------|

**** Theorem :B_onlyenvNH:noexport:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <3>
:END:

***** Main Backward Simulation :B_theorem:
:PROPERTIES:
:BEAMER_env: theorem
:END:

#+begin_export latex
\begin{equation*}
  \forall C, V, B,\quad \yhfunction{HLS} (C) = \yhconstant{OK} (V) \land \mathit{Safe}(C) \implies (V \Downarrow B \implies C \Downarrow B).
\end{equation*}
#+end_export

where

#+begin_export latex
\begin{equation*}
  \mathit{Safe}(C):\ \forall B,\ C \Downarrow B \implies B \in \texttt{Safe}
\end{equation*}
#+end_export

**** Theorem :B_onlyenvNH:noexport:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <4->
:END:

***** Forward Simulation :B_theorem:
:PROPERTIES:
:BEAMER_env: theorem
:END:

#+begin_export latex
\begin{align*}
  &(\forall C, V, B \in \texttt{Safe},\quad \yhfunction{HLS} (C) = \yhconstant{OK} (V) \land C \Downarrow B \implies V \Downarrow B)\\
&\land (\forall V, B_1, B_2,\quad V \Downarrow B_1 \land V \Downarrow B_2 \implies B_1 = B_2).
\end{align*}
#+end_export

** 3AC $\to$ HTL: Build a Specification :noexport:

Assuming $\yhfunction{HLS} (C) = \yhconstant{OK} (V)$ requires reasoning about implementation details.

#+beamer: \pause
#+beamer: \vspace{1em}

Instead we build a model of the translation which we can use.

#+begin_export latex
\begin{equation*}
  \forall C, V,\quad \yhfunction{HLS} (C) = \yhconstant{OK} (V) \rightarrow \yhfunction{tr\_hls}\ \ C\ \ V.
\end{equation*}
#+end_export

#+beamer: \pause

*** 3AC to HTL operator conversion :B_example:
:PROPERTIES:
:BEAMER_env: example
:BEAMER_ACT: <2->
:END:

#+begin_export latex
\begin{equation*}
  \inferrule[Iop]{\yhfunction{tr\_op } \mathit{op}\ \vec{a} = \yhconstant{OK } e}{\yhfunction{tr\_instr}\ \mathit{fin}\ \mathit{rtrn}\ \sigma\ \mathit{stk}\ (\yhconstant{Iop}\ \mathit{op}\ \vec{a}\ d\ n)\ (d \Leftarrow e)\ (\sigma \Leftarrow n)}
\end{equation*}
#+end_export

** 3AC $\to$ HTL: Prove Forward Simulation :noexport:

*** Column 1
:PROPERTIES:
:BEAMER_COL: 0.35
:END:

#+begin_export latex
\definecolor{highlightcol}{HTML}{db6060}
\begin{center}
  \begin{tikzpicture}
    \begin{scope}
      \node[circle,minimum size=2] (s1) at (0,3) {$S_{1}$};%
      \only<2>{\node[circle,minimum size=2] (s1) at (0,3) {\textcolor{highlightcol}{$S_{1}$}};}
      \node[circle,minimum size=2] (r1) at (4,3) {$R_{1}$};%
      \only<2-3>{\node[circle,minimum size=2] (r1) at (4,3) {\textcolor{highlightcol}{$R_{1}$}};}
      \node[circle,minimum size=2] (s2) at (0,0) {$S_{2}$};%
      \only<4>{\node[circle,minimum size=2] (s2) at (0,0) {\textcolor{highlightcol}{$S_{2}$}};}
      \node[circle,minimum size=2] (r2) at (4,0) {$R_{2}$};%
      \only<3-4>{\node[circle,minimum size=2] (r2) at (4,0) {\textcolor{highlightcol}{$R_{2}$}};}
      \draw (s1) -- node[above] {\textasciitilde{}} node[below] {\small\texttt{match\_states}} ++ (r1);
      \only<2>{\draw[highlightcol] (s1) -- node[above] {\textasciitilde{}} node[below] {\small\texttt{match\_states}} ++ (r1);}
      \draw[-{Latex[length=3mm]}] (s1) -- (s2);
      \draw[dashed] (s2) -- node[above] {\textasciitilde{}} node[below] {\small\texttt{match\_states}} ++ (r2);%
      \only<4>{\draw[highlightcol,dashed] (s2) -- node[above] {\textasciitilde{}} node[below] {\small\textcolor{highlightcol}{\texttt{match\_states}}} ++ (r2);}
      \draw[-{Latex[length=3mm]},dashed] (r1) -- node[left] {+} ++ (r2);%
      \only<3>{\draw[highlightcol,-{Latex[length=3mm]},dashed] (r1) -- node[left] {+} ++ (r2);}
    \end{scope}
  \end{tikzpicture}
\end{center}
#+end_export

*** Column 2
:PROPERTIES:
:BEAMER_COL: 0.6
:END:

\texttt{match\_states} defined as:

#+begin_export latex
\begin{equation*}
  \mathcal{I} \land R \le \Gamma \land M \le \Gamma ! \mathit{stk} \land \mathit{pc} = \Gamma ! \sigma
\end{equation*}
#+end_export

Prove the simulation diagram correct:

#+beamer: \pause

#+attr_beamer: :overlay <+->
- Assuming an initial match between the 3AC state $S_1$ and Verilog state $R_1$,
- there exists 1 or more steps in Verilog,
- such that after 1 step in 3AC, the resulting states match.

** Main Challenges in Proof

*** Translation of memory model
:PROPERTIES:
:BEAMER_ENV: block
:BEAMER_ACT: <1->
:END:

*Abstract/infinite memory model* translated into *concrete/finite RAM*.

*** Integration of Verilog Semantics
:PROPERTIES:
:BEAMER_ENV: block
:BEAMER_ACT: <2->
:END:

- *Verilog semantics* differs from CompCert's main assumptions of intermediate language semantics.
- Abstract values like the *program counter* now correspond to *values in registers*.

* Results

** The bad news: with division approximately 27$\times$ slower

#+begin_export latex
\pgfplotstableread[col sep=comma]{../results/rel-time-div.csv}{\divtimingtable}
\pgfplotstableread[col sep=comma]{../results/rel-size-div.csv}{\divslicetable}
\definecolor{vericertcol}{HTML}{66C2A5}
\definecolor{legupnooptcol}{HTML}{FC8D62}
\definecolor{legupnooptnochaincol}{HTML}{8DA0CB}
\newcommand\backgroundbar[2][5]{\draw[draw=none, fill=black!#1] (axis cs:#2*2+0.5,0.1) rectangle (axis cs:1+#2*2+0.5,300);}

\centering
\begin{tikzpicture}[scale=0.65]
  
  \begin{groupplot}[
    group style={
      group name=my plots,
      group size=1 by 2,
      xlabels at=edge bottom,
      xticklabels at=edge bottom,
      vertical sep=5pt,
    },
    ymode=log,
    ybar=0.4pt,
    width=1\textwidth,
    height=0.4\textwidth,
    /pgf/bar width=3pt,
    legend pos=south east,
    log ticks with fixed point,
    xticklabels from table={\divtimingtable}{benchmark},
    legend style={nodes={scale=0.7, transform shape}},
    x tick label style={rotate=90,anchor=east,font=\footnotesize},
    legend columns=-1,
    xtick=data,
    enlarge x limits={abs=0.5},
    ylabel style={font=\footnotesize},
    xtick style={draw=none},
    ]

    \nextgroupplot[ymin=0.8,ymax=300,ylabel={Execution time relative to \legup{}}]
    \pgfplotsinvokeforeach{0,...,12}{%
      \backgroundbar{#1}}
    \backgroundbar[10]{13}
    \addplot+[vericertcol] table [x expr=\coordindex,y=vericert,col sep=comma] from \divtimingtable;
    \addplot+[legupnooptcol] table [x expr=\coordindex,y=legup noopt nochain,col sep=comma] from \divtimingtable;
    \addplot+[legupnooptnochaincol] table [x expr=\coordindex,y=legup noopt,col sep=comma] from \divtimingtable;
    \draw (axis cs:-1,1) -- (axis cs:28,1);
    % JW: redraw axis border which has been partially covered by the grey bars
    \draw (axis cs:-0.5,0.8) rectangle (axis cs:27.5,300);
    
    \nextgroupplot[ymin=0.3,ymax=10,ylabel={Area relative to \legup{}}]
    \pgfplotsinvokeforeach{0,...,12}{%
      \backgroundbar{#1}}
    \backgroundbar[10]{13}
    \addplot+[vericertcol] table [x expr=\coordindex,y=vericert,col sep=comma] from \divslicetable;
    \addplot+[legupnooptcol] table [x expr=\coordindex,y=legup noopt nochain,col sep=comma] from \divslicetable;
    \addplot+[legupnooptnochaincol] table [x expr=\coordindex,y=legup noopt,col sep=comma] from \divslicetable;
    \draw (axis cs:-1,1) -- (axis cs:28,1);
    % JW: redraw axis border which has been partially covered by the grey bars
    \draw (axis cs:-0.5,0.3) rectangle (axis cs:27.5,10);

    \legend{\vericert{},\legupnooptchain{},\legupnoopt{}};
  \end{groupplot}
\end{tikzpicture}
#+end_export

** The better news: without division about 2$\times$ slower

#+begin_export latex
\pgfplotstableread[col sep=comma]{../results/rel-time-nodiv.csv}{\nodivtimingtable}
\pgfplotstableread[col sep=comma]{../results/rel-size-nodiv.csv}{\nodivslicetable}
\definecolor{vericertcol}{HTML}{66C2A5}
\definecolor{legupnooptcol}{HTML}{FC8D62}
\definecolor{legupnooptnochaincol}{HTML}{8DA0CB}
\centering
\begin{tikzpicture}[scale=0.65]
  \begin{groupplot}[
    group style={
      group name=my plots,
      group size=1 by 2,
      xlabels at=edge bottom,
      xticklabels at=edge bottom,
      vertical sep=5pt,
    },
    ymode=log,
    ybar=0.4pt,
    ytick={0.5,1,2,4,8},
    width=1\textwidth,
    height=0.4\textwidth,
    /pgf/bar width=3pt,
    legend pos=south east,
    log ticks with fixed point,
    xticklabels from table={\nodivtimingtable}{benchmark},
    legend style={nodes={scale=0.7, transform shape}},
    x tick label style={rotate=90,anchor=east,font=\footnotesize},
    legend columns=-1,
    xtick=data,
    enlarge x limits={abs=0.5},
    ylabel style={font=\footnotesize},
    ymin=0.3,
    xtick style={draw=none},
    ]

    \nextgroupplot[ymin=0.3,ymax=10,ylabel={Execution time relative to \legup{}}]
    \pgfplotsinvokeforeach{0,...,12}{%
      \backgroundbar{#1}}
    \backgroundbar[10]{13}
    \addplot+[vericertcol] table [x expr=\coordindex,y=vericert,col sep=comma] from \nodivtimingtable;
    \addplot+[legupnooptcol] table [x expr=\coordindex,y=legup noopt nochain,col sep=comma] from \nodivtimingtable;
    \addplot+[legupnooptnochaincol] table [x expr=\coordindex,y=legup noopt,col sep=comma] from \nodivtimingtable;
    \draw (axis cs:-1,1) -- (axis cs:28,1);
    \draw (axis cs:-0.5,0.3) rectangle (axis cs:27.5,10);

    \nextgroupplot[ymin=0.3,ymax=4,ylabel={Area relative to \legup{}}]
    \pgfplotsinvokeforeach{0,...,12}{%
      \backgroundbar{#1}}
    \backgroundbar[10]{13}
    \addplot+[vericertcol] table [x expr=\coordindex,y=vericert,col sep=comma] from \nodivslicetable;
    \addplot+[legupnooptcol] table [x expr=\coordindex,y=legup noopt nochain,col sep=comma] from \nodivslicetable;
    \addplot+[legupnooptnochaincol] table [x expr=\coordindex,y=legup noopt,col sep=comma] from \nodivslicetable;
    \draw (axis cs:-1,1) -- (axis cs:28,1);
    \draw (axis cs:-0.5,0.3) rectangle (axis cs:27.5,4);

    \legend{\vericert{},\legupnooptchain{},\legupnoopt{}};
  \end{groupplot}
\end{tikzpicture}
#+end_export

** Fuzzing Vericert with Csmith

Fuzzed Vericert with Csmith to check correctness theorem.

#+beamer: \vspace{3em}

*** Only 1
:PROPERTIES:
:BEAMER_ENV: onlyenvNH
:BEAMER_ACT: 1
:END:

**** Minipage
:PROPERTIES:
:BEAMER_ENV: minipage
:BEAMER_OPT: 5cm
:END:

#+attr_latex: :booktabs t
|-----------------+-----------------|
| Tool            | Run-time errors |
|-----------------+-----------------|
| Vivado HLS      |           1.23% |
| Intel i++       |            0.4% |
| Bambu 0.9.7-dev |    0.3% (13.7%) |
| LegUp 4.0       |            0.1% |
|-----------------+-----------------|

*** Only 2
:PROPERTIES:
:BEAMER_ENV: onlyenvNH
:BEAMER_ACT: 2
:END:

**** Minipage
:PROPERTIES:
:BEAMER_ENV: minipage
:BEAMER_OPT: 5cm
:END:

#+attr_latex: :booktabs t
|-----------------+-----------------|
| Tool            | Run-time errors |
|-----------------+-----------------|
| Vivado HLS      |           1.23% |
| Intel i++       |            0.4% |
| Bambu 0.9.7-dev |    0.3% (13.7%) |
| LegUp 4.0       |            0.1% |
|-----------------+-----------------|
| *Vericert*        |      *0% (0.03%)* |
|-----------------+-----------------|

** Conclusion

Written a formally verified high-level synthesis tool in *Coq* based on *CompCert*.

#+attr_beamer: :overlay <+->
- HLS tool *proven correct in Coq* by proving translation of CFG into FSMD.
- Small optimisations implemented such as *RAM Inference*.
- Performance without divisions comparable to LegUp without optimisations.

*** Future Work
:PROPERTIES:
:BEAMER_ENV: block
:BEAMER_ACT: <4->
:END:

Make Vericert not only *correct*, but *competitive*.

#+attr_beamer: :overlay +-
- Implement *scheduling* and *resource sharing*.
- Add *external module* support.
- Add *global variable* support.

** Thank you :B_fullframe:
:PROPERTIES:
:BEAMER_env: fullframe
:END:

#+begin_export latex
\begin{center}
  {\usebeamerfont{frametitle}\Large Thank you\par}
  {\color{lightgreen}\rule{350pt}{2pt}\par}
  \vspace{1em}
  \begin{minipage}{5cm}
    \begin{center}
    Documentation\\
    \includegraphics[width=50pt]{assets/formal_hls_docs.pdf}\\
    \tiny\url{https://vericert.ymhg.org}
    \end{center}
  \end{minipage}
  \begin{minipage}{5cm}
  \begin{center}
  GitHub\\
  \includegraphics[width=50pt]{assets/formal_hls_github.pdf}\\
  \tiny\url{https://github.com/ymherklotz/vericert}
  \end{center}
  \end{minipage}\vspace{1em}
  \begin{minipage}{5cm}
  \begin{center}
  OOPSLA'21 Preprint\\
  \includegraphics[width=50pt]{assets/formal_hls_paper.pdf}\\
  \tiny\url{https://ymhg.org/papers/fvhls_oopsla21.pdf}
  \end{center}
  \end{minipage}
\end{center}
#+end_export
** References

bibliography:./references.bib


* Extensions :noexport:
** Current work

Many optimisations missing:

- *scheduling*
- *if-conversion*
- memory partitioning
- *loop pipelining*
- polyhedral analysis
- operation chaining
- register allocation

** New languages for scheduling

Currently implemented two new languages for it:

- ~3ACBlock~ :: 3AC with basic blocks.
- ~3ACPar~ :: 3AC with basic blocks made up of parallel constructs.

#+beamer: \vspace{1.5em}

*** Minipage :B_minipage:
:PROPERTIES:
:BEAMER_env: minipage
:BEAMER_OPT: 10cm
:END:

**** 3ACBlock Basic Block
:PROPERTIES:
:BEAMER_ACT: 2
:BEAMER_env: onlyenv
:END:

#+begin_src coq
Record bblock: Type := mk_bblock {
  bb_body: list instr;
  bb_exit: cf_instr
}.
#+end_src

**** Instructions :B_onlyenv:
:PROPERTIES:
:BEAMER_ACT: 3
:BEAMER_env: onlyenv
:END:

#+begin_src coq
Inductive instr: Type :=
| RBnop: instr
| RBop: option pred_op -> operation -> list reg -> reg -> instr
| RBload: option pred_op -> memory_chunk -> addressing
           -> list reg -> reg -> instr
| RBstore: option pred_op -> memory_chunk -> addressing
            -> list reg -> reg -> instr
| RBsetpred: condition -> list reg -> predicate -> instr.
#+end_src

** More details of transformations

#+begin_export latex
\scalebox{0.8}{\begin{tikzpicture}
  \begin{scope}
    \node[draw,minimum width=3cm,minimum height=1cm,align=center] (imstranslation) at (1.5,2) {\small\texttt{\textcolor{functioncolour}{Iterative Modulo}}\\\small\texttt{\textcolor{functioncolour}{Scheduling}}};
    \node[draw,ellipse] (input) at (-1.5,2) {\small\texttt{3ACBlock}};
    \node[draw,ellipse] (output) at (5,2) {\small\texttt{3ACBlock}};
    \draw[->] (input) -- (imstranslation);
    \draw[->] (imstranslation) -- (output);
      \visible<2->{\node[draw,minimum width=3cm,minimum height=1cm,align=center] (verification) at (1.5,0.5) {\small Equivalence\\\small Check};%
      \draw[->] (input) |- (verification);%
      \draw[->] (output) |- (verification);%
      \node[draw,ellipse] (error) at ($(verification.south) - (0.5,1.5)$) {\small\texttt{\textcolor{constantcolour}{Error}}};%
      \draw[->] ($(verification.south) - (0.5,0)$) -- (error);%
      \node at (0.3,-0.5) {\footnotesize\texttt{\textcolor{keywordcolour}{false}}};%
      \node at (2,-0.3) {\footnotesize\texttt{\textcolor{keywordcolour}{true}}};%
      \node[draw,ellipse] (ok) at (5,-0.5) {\small\texttt{\textcolor{constantcolour}{OK}(3ACBlock)}};%
      \draw[->] (verification) |- (ok);}

      \visible<3->{\node[draw,minimum width=3cm,minimum height=1cm,align=center] (schedtranslation) at (9.5,-0.5) {\small\texttt{\textcolor{functioncolour}{Resource Constrained}}\\\small\texttt{\textcolor{functioncolour}{Scheduling}}};%
    \node[draw,ellipse] (schedoutput) at (13,-0.5) {\small\texttt{3ACPar}};%
    \draw[->] (ok) -- (schedtranslation);%
    \draw[->] (schedtranslation) -- (schedoutput);%
      \node[draw,minimum width=3cm,minimum height=1cm,align=center] (schedverification) at (9.5,-2) {\small Equivalence\\\small Check};%
      \draw[->] (ok) |- (schedverification);%
      \draw[->] (schedoutput) |- (schedverification);%
      \node[draw,ellipse] (schederror) at ($(schedverification.south) - (0.5,1.5)$) {\small\texttt{\textcolor{constantcolour}{Error}}};%
      \draw[->] ($(schedverification.south) - (0.5,0)$) -- (schederror);%
      \node at (8.3,-3) {\footnotesize\texttt{\textcolor{keywordcolour}{false}}};%
      \node at (10,-2.8) {\footnotesize\texttt{\textcolor{keywordcolour}{true}}};%
      \node[draw,ellipse] (ok) at (13,-3) {\small\texttt{\textcolor{constantcolour}{OK}(3ACPar)}};%
      \draw[->] (schedverification) |- (ok);}
  \end{scope}
\end{tikzpicture}}
#+end_export

** Scheduling example

#+beamer: \vspace{0.5em}

*** Column 1
:PROPERTIES:
:BEAMER_COL: 0.40
:END:

**** Minipage :B_minipage:
:PROPERTIES:
:BEAMER_env: minipage
:BEAMER_OPT: 10cm
:END:

***** Code 1 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 1
:END:

#+attr_latex: :options fontsize=\footnotesize
#+begin_src C
p1 = r3 == 0
r1 = r3 + r4
(p1) r2 = r3 + r1
(~ p1) r2 = r4 + r1
stk[r5] = r2
r6 = stk[r5+1]
#+end_src

***** Code 2 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 2
:END:

#+attr_latex: :options fontsize=\footnotesize
#+begin_src C
p1 = r3 == 0
r1 = r3 + r4
(p1) r2 = r3 + r1
(~ p1) r2 = r4 + r1
stk[r5] = r2
r6 = stk[r5+1]
#+end_src

***** Code 3 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 3
:END:

#+attr_latex: :options fontsize=\footnotesize,highlightlines={5,6}
#+begin_src C
p1 = r3 == 0
r1 = r3 + r4
(p1) r2 = r3 + r1
(~ p1) r2 = r4 + r1
stk[r5] = r2
r6 = stk[r5+1]
#+end_src

***** Code 4 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 4
:END:

#+attr_latex: :options fontsize=\footnotesize,highlightlines={1}
#+begin_src C
p1 = r3 == 0
r1 = r3 + r4
(p1) r2 = r3 + r1
(~ p1) r2 = r4 + r1
stk[r5] = r2
r6 = stk[r5+1]
#+end_src

#+beamer: \vspace{-2em}

#+begin_export latex
\begin{align*}
  &p_{1} \mapsto r_{3}^{0} = 0
\end{align*}
#+end_export

***** Code 5 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 5
:END:

#+attr_latex: :options fontsize=\footnotesize,highlightlines={2}
#+begin_src C
p1 = r3 == 0
r1 = r3 + r4
(p1) r2 = r3 + r1
(~ p1) r2 = r4 + r1
stk[r5] = r2
r6 = stk[r5+1]
#+end_src

#+beamer: \vspace{-2em}

#+begin_export latex
\begin{align*}
  &p_{1} \mapsto r_{3}^{0} = 0\\
  &r_{1} \mapsto r_{3}^{0} + r_{4}^{0}
\end{align*}
#+end_export

***** Code 6 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 6
:END:

#+attr_latex: :options fontsize=\footnotesize,highlightlines={3}
#+begin_src C
p1 = r3 == 0
r1 = r3 + r4
(p1) r2 = r3 + r1
(~ p1) r2 = r4 + r1
stk[r5] = r2
r6 = stk[r5+1]
#+end_src

#+beamer: \vspace{-2em}

#+begin_export latex
\begin{align*}
  &p_{1} \mapsto r_{3}^{0} = 0\\
  &r_{1} \mapsto r_{3}^{0} + r_{4}^{0}\\
  &r_{2} \mapsto \begin{cases}
    r_{3}^{0} + r_{3}^{0} + r_{4}^{0}, &p_{1}
    \end{cases}
\end{align*}
#+end_export

***** Code 7 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 7
:END:

#+attr_latex: :options fontsize=\footnotesize,highlightlines={4}
#+begin_src C
p1 = r3 == 0
r1 = r3 + r4
(p1) r2 = r3 + r1
(~ p1) r2 = r4 + r1
stk[r5] = r2
r6 = stk[r5+1]
#+end_src

#+beamer: \vspace{-2em}

#+begin_export latex
\begin{align*}
  &p_{1} \mapsto r_{3}^{0} = 0\\
  &r_{1} \mapsto r_{3}^{0} + r_{4}^{0}\\
  &r_{2} \mapsto \begin{cases}
    r_{3}^{0} + r_{3}^{0} + r_{4}^{0}, &p_{1}\\
    r_{4}^{0} + r_{3}^{0} + r_{4}^{0}, &\neg p_{1}\\
  \end{cases}
\end{align*}
#+end_export

***** Code 8 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 8
:END:

#+attr_latex: :options fontsize=\footnotesize,highlightlines={5}
#+begin_src C
p1 = r3 == 0
r1 = r3 + r4
(p1) r2 = r3 + r1
(~ p1) r2 = r4 + r1
stk[r5] = r2
r6 = stk[r5+1]
#+end_src

#+beamer: \vspace{-2em}

#+begin_export latex
\begin{align*}
  &p_{1} \mapsto r_{3}^{0} = 0\\
  &r_{1} \mapsto r_{3}^{0} + r_{4}^{0}\\
  &r_{2} \mapsto \begin{cases}
    r_{3}^{0} + r_{3}^{0} + r_{4}^{0}, &p_{1}\\
    r_{4}^{0} + r_{3}^{0} + r_{4}^{0}, &\neg p_{1}\\
  \end{cases}\\
  &\mathit{Mem} \mapsto \mathit{Mem}^0 \cup \{r_{5}^{0} \mapsto r_{1}^{0} + r_{2}^{0}\}
\end{align*}
#+end_export

***** Code 9 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 9
:END:

#+attr_latex: :options fontsize=\footnotesize,highlightlines={6}
#+begin_src C
p1 = r3 == 0
r1 = r3 + r4
(p1) r2 = r3 + r1
(~ p1) r2 = r4 + r1
stk[r5] = r2
r6 = stk[r5+1]
#+end_src

#+beamer: \vspace{-2em}

#+begin_export latex
\begin{align*}
  &p_{1} \mapsto r_{3}^{0} = 0\\
  &r_{1} \mapsto r_{3}^{0} + r_{4}^{0}\\
  &r_{2} \mapsto \begin{cases}
    r_{3}^{0} + r_{3}^{0} + r_{4}^{0}, &p_{1}\\
    r_{4}^{0} + r_{3}^{0} + r_{4}^{0}, &\neg p_{1}\\
  \end{cases}\\
  &\mathit{Mem} \mapsto \mathit{Mem}^0 \cup \{r_{5}^{0} \mapsto r_{1}^{0} + r_{2}^{0}\}\\
  &r_{6} \mapsto (\mathit{Mem}^0 \cup \{r_{5}^{0} \mapsto r_{1}^{0} + r_{2}^{0}\})[r_{5}^0 + 1]
\end{align*}
#+end_export
***** Code 10 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 10
:END:

#+attr_latex: :options fontsize=\footnotesize,highlightlines={6}
#+begin_src C
p1 = r3 == 0
r1 = r3 + r4
(p1) r2 = r3 + r1
(~ p1) r2 = r4 + r1
stk[r5] = r2
r6 = stk[r5+1]
#+end_src

#+beamer: \vspace{-2em}

#+begin_export latex
\begin{align*}
  &p_{1} \mapsto r_{3}^{0} = 0\\
  &r_{1} \mapsto r_{3}^{0} + r_{4}^{0}\\
  &r_{2} \mapsto \begin{cases}
    r_{3}^{0} + r_{3}^{0} + r_{4}^{0}, &p_{1}\\
    r_{4}^{0} + r_{3}^{0} + r_{4}^{0}, &\neg p_{1}\\
  \end{cases}\\
  &\mathit{Mem} \mapsto \mathit{Mem}^0 \cup \{r_{5}^{0} \mapsto r_{1}^{0} + r_{2}^{0}\}\\
  &r_{6} \mapsto \mathit{Mem}^0[r_{5}^0 + 1]
\end{align*}
#+end_export

*** Column 2
:PROPERTIES:
:BEAMER_COL: 0.55
:END:

**** Minipage :B_minipage:
:PROPERTIES:
:BEAMER_env: minipage
:BEAMER_OPT: 10cm
:END:

***** Code 2 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 2
:END:

#+attr_latex: :options fontsize=\footnotesize
#+begin_src C
p1 = r3 == 0 || r1 = r3 + r4
     || r6 = stk[r5+1]
(p1) r2 = r3 + r1
     || (~ p1) r2 = r4 + r1
stk[r5] = r1 + r2
#+end_src

***** Code 3 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 3
:END:

#+attr_latex: :options fontsize=\footnotesize,highlightlines={2,5}
#+begin_src C
p1 = r3 == 0 || r1 = r3 + r4
     || r6 = stk[r5+1]
(p1) r2 = r3 + r1
     || (~ p1) r2 = r4 + r1
stk[r5] = r1 + r2
#+end_src

***** Code 4 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 4
:END:

#+attr_latex: :options fontsize=\footnotesize,highlightlines={1,2}
#+begin_src C
p1 = r3 == 0 || r1 = r3 + r4
     || r6 = stk[r5+1]
(p1) r2 = r3 + r1
     || (~ p1) r2 = r4 + r1
stk[r5] = r1 + r2
#+end_src

#+beamer: \vspace{-2em}

#+begin_export latex
\begin{align*}
  &p_{1} \mapsto r_{3}^{0} = 0\\
  &r_{1} \mapsto r_{3}^{0} + r_{4}^{0}\\
  &r_{6} \mapsto \mathit{Mem}^0[r_{5}^{0} + 1]
\end{align*}
#+end_export

***** Code 5 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 5
:END:

#+attr_latex: :options fontsize=\footnotesize,highlightlines={3,4}
#+begin_src C
p1 = r3 == 0 || r1 = r3 + r4
     || r6 = stk[r5+1]
(p1) r2 = r3 + r1
     || (~ p1) r2 = r4 + r1
stk[r5] = r1 + r2
#+end_src

#+beamer: \vspace{-2em}

#+begin_export latex
\begin{align*}
  &p_{1} \mapsto r_{3}^{0} = 0\\
  &r_{1} \mapsto r_{3}^{0} + r_{4}^{0}\\
  &r_{2} \mapsto \begin{cases}
    r_{3}^{0} + r_{3}^{0} + r_{4}^{0}, &p_{1}\\
    r_{4}^{0} + r_{3}^{0} + r_{4}^{0}, &\neg p_{1}\\
  \end{cases}\\
  &r_{6} \mapsto \mathit{Mem}^0[r_{5}^{0} + 1]
\end{align*}
#+end_export

***** Code 6 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 6-
:END:

#+attr_latex: :options fontsize=\footnotesize,highlightlines={5}
#+begin_src C
p1 = r3 == 0 || r1 = r3 + r4
     || r6 = stk[r5+1]
(p1) r2 = r3 + r1
     || (~ p1) r2 = r4 + r1
stk[r5] = r1 + r2
#+end_src

#+beamer: \vspace{-2em}

#+begin_export latex
\begin{align*}
  &p_{1} \mapsto r_{3}^{0} = 0\\
  &r_{1} \mapsto r_{3}^{0} + r_{4}^{0}\\
  &r_{2} \mapsto \begin{cases}
    r_{3}^{0} + r_{3}^{0} + r_{4}^{0}, &p_{1}\\
    r_{4}^{0} + r_{3}^{0} + r_{4}^{0}, &\neg p_{1}\\
  \end{cases}\\
  &\mathit{Mem} \mapsto \mathit{Mem}^0 \cup \{r_{5}^{0} \mapsto r_{1}^{0} + r_{2}^{0}\} \\
  &r_{6} \mapsto \mathit{Mem}^0[r_{5}^{0} + 1]
\end{align*}
#+end_export

** One more complication

How to prove equality of *conditional expressions*?

#+begin_export latex
\begin{equation*}
  \begin{drcases}
    r_{3}^{0} + r_{3}^{0} + r_{4}^{0}, &p_{1} \lor (p_{2} \land \neg p_{2}) \\
    r_{4}^{0} + r_{3}^{0} + r_{4}^{0}, &\neg p_{1}\\
    r_{4}^{0}, &p_{3} \land \neg p_{3}\\
  \end{drcases} = \begin{cases}
  r_{4}^{0} + r_{3}^{0} + r_{4}^{0}, &\neg p_{1}\\
    r_{3}^{0} + r_{3}^{0} + r_{4}^{0}, &p_{1}\\
  \end{cases}
\end{equation*}
#+end_export

#+attr_beamer: :overlay <+->
- They can be *reordered* in any way.
- Some expressions *not present anymore* (when predicate is provably false).
- Predicates *simplified* by scheduling.

** Solution to proving conditional functions

#+attr_beamer: :overlay <+->
1. Use a *formally verified SAT solver*.
2. Show that predicates are *independent*.
3. Reorder the list of predicates in a more useful order.
4. Use SAT solver to go through list and find equivalent pairings.
5. Show that attached expressions are equal.

*** Minipage :B_minipage:
:PROPERTIES:
:BEAMER_env: minipage
:BEAMER_OPT: 5cm
:END:

**** Only 1 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 2
:END:

#+begin_export latex
\begin{equation*}
  \text{unsat}\quad (p_1 \land \neg p_1) \lor (p_1 \land (p_{3} \land \neg p_{3})) \lor (\neg p_1 \land (p_{3} \land \neg p_{3}))
\end{equation*}
#+end_export

**** Only 2 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 3
:END:

#+begin_export latex
\begin{equation*}
  \begin{drcases}
    r_{3}^{0} + r_{3}^{0} + r_{4}^{0}, &p_{1} \lor (p_{2} \land \neg p_{2}) \\
    r_{4}^{0} + r_{3}^{0} + r_{4}^{0}, &\neg p_{1}\\
    r_{4}^{0}, &p_{3} \land \neg p_{3}\\
  \end{drcases} = \begin{cases}
    r_{3}^{0} + r_{3}^{0} + r_{4}^{0}, &p_{1}\\
      r_{4}^{0} + r_{3}^{0} + r_{4}^{0}, &\neg p_{1}\\
  \end{cases}
\end{equation*}
#+end_export

**** Only 3 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 4
:END:

#+begin_export latex
\begin{equation*}
  \begin{drcases}
    r_{3}^{0} + r_{3}^{0} + r_{4}^{0}, &p_{1} \lor (p_{2} \land \neg p_{2}) \\
    r_{4}^{0} + r_{3}^{0} + r_{4}^{0}, &\neg p_{1}\\
    r_{4}^{0}, &p_{3} \land \neg p_{3}\\
  \end{drcases} = \begin{cases}
    r_{3}^{0} + r_{3}^{0} + r_{4}^{0}, &p_{1}\\
      r_{4}^{0} + r_{3}^{0} + r_{4}^{0}, &\neg p_{1}\\
  \end{cases}
\end{equation*}
#+end_export

#+begin_export latex
\begin{equation*}
\text{unsat}\quad \neg (p_{1} \lor (p_{2} \land \neg p_{2}) \Longleftrightarrow p_1)
\end{equation*}
#+end_export

**** Only 4 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: 5
:END:

#+begin_export latex
\begin{equation*}
  \begin{drcases}
    r_{3}^{0} + r_{3}^{0} + r_{4}^{0}, &p_{1} \lor (p_{2} \land \neg p_{2}) \\
    r_{4}^{0} + r_{3}^{0} + r_{4}^{0}, &\neg p_{1}\\
    r_{4}^{0}, &p_{3} \land \neg p_{3}\\
  \end{drcases} = \begin{cases}
    r_{3}^{0} + r_{3}^{0} + r_{4}^{0}, &p_{1}\\
      r_{4}^{0} + r_{3}^{0} + r_{4}^{0}, &\neg p_{1}\\
  \end{cases}
\end{equation*}
#+end_export

#+begin_export latex
\begin{equation*}
\text{unsat}\quad \neg (p_{1} \lor (p_{2} \land \neg p_{2}) \Longleftrightarrow p_1)
\end{equation*}
#+end_export

#+begin_export latex
\begin{equation*}
r_{3}^{0} + r_{3}^{0} + r_{4}^{0} \stackrel{?}{=} r_{3}^{0} + r_{3}^{0} + r_{4}^{0}
\end{equation*}
#+end_export

** New passes

#+begin_export latex
\definecolor{compcert}{HTML}{66c2a5}
\definecolor{formalhls}{HTML}{fc8d62}
\begin{center}\scalebox{0.8}{\begin{tikzpicture}[language/.style={fill=white,rounded corners=2pt}]
    \fill[compcert,rounded corners=3pt] (-1,-1) rectangle (9,1.5);
    \fill[formalhls,rounded corners=3pt] (-1,-1.5) rectangle (9,-2.5);
    \node[language] at (0,0) (clight) {Clight};
    \node[language] at (2,0) (cminor) {C\#minor};
    \node[language] at (4,0) (rtl) {3AC};
    \node[language] at (6,0) (ltl) {LTL};
    \node[language] at (8,0) (ppc) {PPC};
    \node[language] at (2,-2) (acblock) {\small 3ACBlock};
    \node[language] at (4,-2) (acpar) {\small 3ACPar};
    \node[language] at (6,-2) (dfgstmd) {HTL};
    \node[language] at (8,-2) (verilog) {Verilog};
    \node at (0,1) {CompCert};
    \node at (0,-2) {Vericert};
    \draw[->] (clight) -- (cminor);
    \draw[->,dashed] (cminor) -- (rtl);
    \draw[->] (rtl) -- (ltl);
    \draw[->,dashed] (ltl) -- (ppc);
    \draw[->] (rtl) |- (2,-1.3) -- (acblock);
    \draw[->] (acblock) -- (acpar);
    \draw[->] (acpar) -- (dfgstmd);
    \draw[->] (dfgstmd) -- (verilog);
    \draw[->] ($(acblock.south) - (0.1,0)$) to [out=270,in=180] (2,-3) to [out=0,in=270] ($(acblock.south) + (0.1,0)$);
\end{tikzpicture}}\end{center}
#+end_export

#+beamer: \vspace{1em}

Add two more passes, from *3ACBlock* $\rightarrow$ *3ACBlock* and from *3ACBlock* to *3ACPar*.

** About Iterative Modulo Scheduling

#+attr_beamer: :overlay <+->
- Algorithm used to approximate an optimal schedule for a loop.
- Performs code transformations from one loop iteration into other loop iterations.
- Allows for more parallelism between instructions.

** Example of proof by translation validation

*** Diagram
:PROPERTIES:
:BEAMER_COL: 0.45
:END:

#+begin_export latex
\scalebox{0.8}{
  \begin{tikzpicture}
    \begin{scope}
      \node[draw,minimum width=3cm,minimum height=1cm] (translation) at (1.5,2) {\texttt{\textcolor{functioncolour}{HLS}}};
      \node[draw,ellipse] (input) at (-1.5,2) {\texttt{C}};
      \node[draw,ellipse] (output) at (5,2) {\texttt{Verilog}};
      \draw[->] (input) -- (translation);
      \draw[->] (translation) -- (output);
      \visible<2->{%
        \node[draw,minimum width=3cm,minimum height=1cm,align=center] (verification) at (1.5,0.5) {Equivalence\\Check};
        \draw[->] (input) |- (verification);
        \draw[->] (output) |- (verification);}
      \visible<3->{%
        \node[draw,ellipse] (error) at ($(verification.south) - (0.5,1.5)$) {\texttt{\textcolor{constantcolour}{Error}}};
        \draw[->] ($(verification.south) - (0.5,0)$) -- (error);
        \node at (0.3,-0.5) {\footnotesize\texttt{\textcolor{keywordcolour}{false}}};}
      \visible<4->{%
        \node at (2,-0.3) {\footnotesize\texttt{\textcolor{keywordcolour}{true}}};
        \node[draw,ellipse] (ok) at (5,-0.5) {\texttt{\textcolor{constantcolour}{OK}(Verilog)}};
        \draw[->] (verification) |- (ok);}
    \end{scope}
  \end{tikzpicture}}
#+end_export

*** Text
:PROPERTIES:
:BEAMER_COL: 0.45
:END:

#+attr_beamer: :overlay <+->
- Prove correctness of an existing translation from ~C~ to ~Verilog~.
- Add equivalence check.
- Error out if it fails.
- Succeed if the equivalence check passes.

** How is the Equivalence Check Performed? :B_frame:

*** Code example :B_column:
:PROPERTIES:
:BEAMER_ENV: column
:BEAMER_COL: 0.45
:END:

**** Code 1 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_ACT: <1>
:BEAMER_env: onlyenvNH
:END:

#+begin_src C
int main() {
    int x[3] = {1, 2, 3};
    int sum = 0;
    for (int i = 0; i < 3; i++)
        sum += x[i];
    return sum;
}
#+end_src

**** Code 2 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <2>
:END:

#+attr_latex: :options highlightlines={2}
#+begin_src C
int main() {
    int x[3] = {1, 2, 3};
    int sum = 0;
    for (int i = 0; i < 3; i++)
        sum += x[i];
    return sum;
}
#+end_src

#+begin_export latex
\begin{align*}
\text{Mem} \{0 \rightarrow 1, 4 \rightarrow 2, 8 \rightarrow 3\} =\\ \text{Mem} \{0 \rightarrow 1\} \land\\
  \texttt{x8} \rightarrow 1
\end{align*}
#+end_export

**** Code 3 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <3>
:END:

#+attr_latex: :options highlightlines={2}
#+begin_src C
int main() {
    int x[3] = {1, 2, 3};
    int sum = 0;
    for (int i = 0; i < 3; i++)
        sum += x[i];
    return sum;
}
#+end_src

#+begin_export latex
\begin{align*}
  \text{Mem} \{0 \rightarrow 1, 4 \rightarrow 2, 8 \rightarrow 3\} =\\ \text{Mem} \{0 \rightarrow 1, 4 \rightarrow 2\} \land\\
  \texttt{x8} \rightarrow 1 \cup \texttt{x7} \rightarrow 2
\end{align*}
#+end_export

**** Code 4 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <4>
:END:

#+attr_latex: :options highlightlines={2}
#+begin_src C
int main() {
    int x[3] = {1, 2, 3};
    int sum = 0;
    for (int i = 0; i < 3; i++)
        sum += x[i];
    return sum;
}
#+end_src

#+begin_export latex
\begin{align*}
  \text{Mem} \{0 \rightarrow 1, 4 \rightarrow 2, 8 \rightarrow 3\} =\\ \text{Mem} \{0 \rightarrow 1, 4 \rightarrow 2, 8 \rightarrow 3\} \land\\
  \texttt{x8} \rightarrow 1 \cup \texttt{x7} \rightarrow 2 \cup \texttt{x6} \rightarrow 3
\end{align*}
#+end_export

**** Code 5 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <5>
:END:

#+attr_latex: :options highlightlines={3}
#+begin_src C
int main() {
    int x[3] = {1, 2, 3};
    int sum = 0;
    for (int i = 0; i < 3; i++)
        sum += x[i];
    return sum;
}
#+end_src

Here we also need a mapping for variable names: $\texttt{sum} \rightarrow \texttt{x2}$.

#+begin_export latex
\begin{align*}
  \texttt{sum} \rightarrow 0 = \texttt{x2} \rightarrow 0
\end{align*}
#+end_export

**** Code 6 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <6>
:END:

#+attr_latex: :options highlightlines={4}
#+begin_src C
int main() {
    int x[3] = {1, 2, 3};
    int sum = 0;
    for (int i = 0; i < 3; i++)
        sum += x[i];
    return sum;
}
#+end_src

#+beamer: \vspace{2em}

For loops can just be identified using a template.

**** Code 7 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <7>
:END:

#+attr_latex: :options highlightlines={5}
#+begin_src C
int main() {
    int x[3] = {1, 2, 3};
    int sum = 0;
    for (int i = 0; i < 3; i++)
        sum += x[i];
    return sum;
}
#+end_src

#+begin_export latex
\begin{align*}
  \texttt{sum} \rightarrow \texttt{sum}^{0} + \text{Mem}[i^{0} * 4] =\\ \texttt{x5} \rightarrow 0
\end{align*}
#+end_export

**** Code 8 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <8>
:END:

#+attr_latex: :options highlightlines={5}
#+begin_src C
int main() {
    int x[3] = {1, 2, 3};
    int sum = 0;
    for (int i = 0; i < 3; i++)
        sum += x[i];
    return sum;
}
#+end_src

#+begin_export latex
\begin{align*}
  \texttt{sum} \rightarrow \texttt{sum}^{0} + \text{Mem}[i^{0} * 4] =\\ \texttt{x5} \rightarrow 0 \cup \texttt{x4} \rightarrow \text{Mem}[\texttt{x1} * 4]
\end{align*}
#+end_export

**** Code 9 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <9>
:END:

#+attr_latex: :options highlightlines={5}
#+begin_src C
int main() {
    int x[3] = {1, 2, 3};
    int sum = 0;
    for (int i = 0; i < 3; i++)
        sum += x[i];
    return sum;
}
#+end_src

#+begin_export latex
\begin{align*}
  \texttt{sum} \rightarrow \texttt{sum}^{0} + \text{Mem}[i^{0} * 4] =\\ \texttt{x5} \rightarrow 0 \cup \texttt{x4} \rightarrow \text{Mem}[\texttt{x1}^{0} * 4]\\ \cup\ \texttt{x2} \rightarrow \texttt{x2}^{0} + \text{Mem}[\texttt{x1}^{0} * 4]
\end{align*}
#+end_export

*** Text :B_column:
:PROPERTIES:
:BEAMER_ENV: column
:BEAMER_COL: 0.45
:END:

**** Text 1 :B_onlyenvNH:
:PROPERTIES:
:BEAMER_ACT: <1>
:BEAMER_env: onlyenvNH
:END:

Example of simple loop accumulating values in array.

**** 3AC Code 2 :B_onlyenv:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <2>
:END:

#+attr_latex: :options fontsize=\scriptsize,highlightlines={2-3}
#+begin_src C
main() {
   15:  x8 = 1
   14:  int32[stack(0)] = x8
   13:  x7 = 2
   12:  int32[stack(4)] = x7
   11:  x6 = 3
   10:  int32[stack(8)] = x6
    9:  x2 = 0
    8:  x1 = 0
    7:  x5 = stack(0) (int)
    6:  x4 = int32[x5 + x1 * 4 + 0]
    5:  x2 = x2 + x4 + 0 (int)
    4:  x1 = x1 + 1 (int)
    3:  if (x1 <s 3) goto 7 else goto 2
    2:  x3 = x2
    1:  return x3
}
#+end_src

**** 3AC Code 3 :B_onlyenv:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <3>
:END:

#+attr_latex: :options fontsize=\scriptsize,highlightlines={2-5}
#+begin_src C
main() {
   15:  x8 = 1
   14:  int32[stack(0)] = x8
   13:  x7 = 2
   12:  int32[stack(4)] = x7
   11:  x6 = 3
   10:  int32[stack(8)] = x6
    9:  x2 = 0
    8:  x1 = 0
    7:  x5 = stack(0) (int)
    6:  x4 = int32[x5 + x1 * 4 + 0]
    5:  x2 = x2 + x4 + 0 (int)
    4:  x1 = x1 + 1 (int)
    3:  if (x1 <s 3) goto 7 else goto 2
    2:  x3 = x2
    1:  return x3
}
#+end_src

**** 3AC Code 4 :B_onlyenv:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <4>
:END:

#+attr_latex: :options fontsize=\scriptsize,highlightlines={2-7}
#+begin_src C
main() {
   15:  x8 = 1
   14:  int32[stack(0)] = x8
   13:  x7 = 2
   12:  int32[stack(4)] = x7
   11:  x6 = 3
   10:  int32[stack(8)] = x6
    9:  x2 = 0
    8:  x1 = 0
    7:  x5 = stack(0) (int)
    6:  x4 = int32[x5 + x1 * 4 + 0]
    5:  x2 = x2 + x4 + 0 (int)
    4:  x1 = x1 + 1 (int)
    3:  if (x1 <s 3) goto 7 else goto 2
    2:  x3 = x2
    1:  return x3
}
#+end_src

**** 3AC Code 5 :B_onlyenv:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <5>
:END:

#+attr_latex: :options fontsize=\scriptsize,highlightlines={8}
#+begin_src C
main() {
   15:  x8 = 1
   14:  int32[stack(0)] = x8
   13:  x7 = 2
   12:  int32[stack(4)] = x7
   11:  x6 = 3
   10:  int32[stack(8)] = x6
    9:  x2 = 0
    8:  x1 = 0
    7:  x5 = stack(0) (int)
    6:  x4 = int32[x5 + x1 * 4 + 0]
    5:  x2 = x2 + x4 + 0 (int)
    4:  x1 = x1 + 1 (int)
    3:  if (x1 <s 3) goto 7 else goto 2
    2:  x3 = x2
    1:  return x3
}
#+end_src

**** 3AC Code 6 :B_onlyenv:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <6>
:END:

#+attr_latex: :options fontsize=\scriptsize,highlightlines={9,13-14}
#+begin_src C
main() {
   15:  x8 = 1
   14:  int32[stack(0)] = x8
   13:  x7 = 2
   12:  int32[stack(4)] = x7
   11:  x6 = 3
   10:  int32[stack(8)] = x6
    9:  x2 = 0
    8:  x1 = 0
    7:  x5 = stack(0) (int)
    6:  x4 = int32[x5 + x1 * 4 + 0]
    5:  x2 = x2 + x4 + 0 (int)
    4:  x1 = x1 + 1 (int)
    3:  if (x1 <s 3) goto 7 else goto 2
    2:  x3 = x2
    1:  return x3
}
#+end_src

**** 3AC Code 7 :B_onlyenv:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <7>
:END:

#+attr_latex: :options fontsize=\scriptsize,highlightlines={10}
#+begin_src C
main() {
   15:  x8 = 1
   14:  int32[stack(0)] = x8
   13:  x7 = 2
   12:  int32[stack(4)] = x7
   11:  x6 = 3
   10:  int32[stack(8)] = x6
    9:  x2 = 0
    8:  x1 = 0
    7:  x5 = stack(0) (int)
    6:  x4 = int32[x5 + x1 * 4 + 0]
    5:  x2 = x2 + x4 + 0 (int)
    4:  x1 = x1 + 1 (int)
    3:  if (x1 <s 3) goto 7 else goto 2
    2:  x3 = x2
    1:  return x3
}
#+end_src

**** 3AC Code 8 :B_onlyenv:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <8>
:END:

#+attr_latex: :options fontsize=\scriptsize,highlightlines={11}
#+begin_src C
main() {
   15:  x8 = 1
   14:  int32[stack(0)] = x8
   13:  x7 = 2
   12:  int32[stack(4)] = x7
   11:  x6 = 3
   10:  int32[stack(8)] = x6
    9:  x2 = 0
    8:  x1 = 0
    7:  x5 = stack(0) (int)
    6:  x4 = int32[x5 + x1 * 4 + 0]
    5:  x2 = x2 + x4 + 0 (int)
    4:  x1 = x1 + 1 (int)
    3:  if (x1 <s 3) goto 7 else goto 2
    2:  x3 = x2
    1:  return x3
}
#+end_src

**** 3AC Code 9 :B_onlyenv:
:PROPERTIES:
:BEAMER_env: onlyenvNH
:BEAMER_ACT: <9>
:END:

#+attr_latex: :options fontsize=\scriptsize,highlightlines={12}
#+begin_src C
main() {
   15:  x8 = 1
   14:  int32[stack(0)] = x8
   13:  x7 = 2
   12:  int32[stack(4)] = x7
   11:  x6 = 3
   10:  int32[stack(8)] = x6
    9:  x2 = 0
    8:  x1 = 0
    7:  x5 = stack(0) (int)
    6:  x4 = int32[x5 + x1 * 4 + 0]
    5:  x2 = x2 + x4 + 0 (int)
    4:  x1 = x1 + 1 (int)
    3:  if (x1 <s 3) goto 7 else goto 2
    2:  x3 = x2
    1:  return x3
}
#+end_src

** Example of Iterative Modulo Scheduling

*** Initial loop :B_column:
:PROPERTIES:
:BEAMER_ENV: column
:BEAMER_COL: 0.45
:END:

**** Code :B_onlyenvNH:
:PROPERTIES:
:BEAMER_ACT: <1>
:BEAMER_env: onlyenvNH
:END:

#+begin_src C
for (int i = 1; i < N; i++) {
    x = A[i];
    sum = sum + x;
    A[i] = sum;
}
#+end_src

*** Transformed loop :B_column:
:PROPERTIES:
:BEAMER_ENV: column
:BEAMER_COL: 0.45
:END:

**** Code :B_onlyenvNH:
:PROPERTIES:
:BEAMER_ACT: <1>
:BEAMER_env: onlyenvNH
:END:

#+begin_src C
x = A[0];
sum = sum + x;
x = A[1];
for (int i = 0; i < N - 2; i++) {
    A[i] = sum;
    sum = sum + x;
    x = A[i + 2];
}
A[N-2] = sum;
sum = sum + x;
A[N-1] = sum;
#+end_src
** Example of Iterative Modulo Scheduling

*** Initial loop :B_column:
:PROPERTIES:
:BEAMER_ENV: column
:BEAMER_COL: 0.45
:END:

**** Code :B_onlyenvNH:
:PROPERTIES:
:BEAMER_ACT: <1>
:BEAMER_env: onlyenvNH
:END:

#+begin_src C
for (int i = 1; i < N; i++) {
    c1 = acc[i-1] * c;
    c2 = x[i] * y[i];
    acc[i] = c1 + c2;
}
#+end_src

*** Transformed loop :B_column:
:PROPERTIES:
:BEAMER_ENV: column
:BEAMER_COL: 0.45
:END:

**** Code :B_onlyenvNH:
:PROPERTIES:
:BEAMER_ACT: <1>
:BEAMER_env: onlyenvNH
:END:

#+begin_src C
c1 = acc[0] * c;
c2 = x[1] * y[1];
for (int i = 1; i < N - 1; i++) {
    acc[i] = c1 + c2;
    c2 = x[i+1] * y[i+1];
    c1 = acc[i+1] * c;
}
acc[i] = c1 + c2;
#+end_src