aboutsummaryrefslogtreecommitdiffstats
path: root/benchmarks/polybench-syn
diff options
context:
space:
mode:
authorYann Herklotz <git@yannherklotz.com>2021-07-11 01:34:00 +0200
committerYann Herklotz <git@yannherklotz.com>2021-07-11 01:34:00 +0200
commitc4853aa99ad65d0fa6f014df1f52c62bc2b6fd31 (patch)
tree7ad14408fed21ee50f2e115f663340afe1cffbc2 /benchmarks/polybench-syn
parentaa986aacbb80e9f92f77d65de74ba5051054eac7 (diff)
downloadvericert-kvx-c4853aa99ad65d0fa6f014df1f52c62bc2b6fd31.tar.gz
vericert-kvx-c4853aa99ad65d0fa6f014df1f52c62bc2b6fd31.zip
Fix some more of the benchmarks
Diffstat (limited to 'benchmarks/polybench-syn')
-rw-r--r--benchmarks/polybench-syn/common.mk4
-rwxr-xr-xbenchmarks/polybench-syn/run-vericert.sh14
2 files changed, 9 insertions, 9 deletions
diff --git a/benchmarks/polybench-syn/common.mk b/benchmarks/polybench-syn/common.mk
index 7e14602..fbada0b 100644
--- a/benchmarks/polybench-syn/common.mk
+++ b/benchmarks/polybench-syn/common.mk
@@ -10,7 +10,7 @@ TARGETS ?=
$(VERICERT) $(VERICERT_OPTS) $< -o $@
%.iver: %.v
- $(IVERILOG) $(IVERILOG_OPTS) $< -o $@
+ $(IVERILOG) -o $@ $(IVERILOG_OPTS) $<
%.gcc: %.c
$(CC) $(CFLAGS) $< -o $@
@@ -24,6 +24,8 @@ clean:
rm -f *.iver
rm -f *.v
rm -f *.gcc
+ rm -f *.clog
+ rm -f *.tmp
rm -f $(TARGETS)
.PRECIOUS: %.v %.gcc %.iver
diff --git a/benchmarks/polybench-syn/run-vericert.sh b/benchmarks/polybench-syn/run-vericert.sh
index 2f8097f..6cf4cd9 100755
--- a/benchmarks/polybench-syn/run-vericert.sh
+++ b/benchmarks/polybench-syn/run-vericert.sh
@@ -1,20 +1,18 @@
-#! /bin/bash
+#!/usr/bin/env bash
+
+rm exec.csv
top=$(pwd)
#set up
while read benchmark ; do
echo "Running "$benchmark
- clang -Wall -Werror -fsanitize=undefined $benchmark.c -o $benchmark.o
- ./$benchmark.o > $benchmark.clog
+ ./$benchmark.gcc > $benchmark.clog
cresult=$(cat $benchmark.clog | cut -d' ' -f2)
echo "C output: "$cresult
- { time ../../bin/vericert -DSYNTHESIS -finline -fschedule --debug-hls $benchmark.c -o $benchmark.v ; } 2> $benchmark.comp
- iverilog -o $benchmark.iver -- $benchmark.v
./$benchmark.iver > $benchmark.tmp
veriresult=$(tail -1 $benchmark.tmp | cut -d' ' -f2)
cycles=$(tail -2 $benchmark.tmp | head -1 | tr -s ' ' | cut -d' ' -f2)
- ctime=$(cat $benchmark.comp | head -2 | tail -1 | xargs | cut -d' ' -f2 | cut -d'm' -f2 | sed 's/s//g')
- echo "Veri output: "$veriresult
+ echo "Verilog output: "$veriresult
#Undefined checks
if test -z $veriresult
@@ -39,5 +37,5 @@ while read benchmark ; do
echo "PASS"
fi
name=$(echo $benchmark | awk -v FS="/" '{print $NF}')
- echo $name","$cycles","$ctime >> exec.csv
+ echo $name","$cycles >> exec.csv
done < benchmark-list-master