aboutsummaryrefslogtreecommitdiffstats
BranchCommit messageAuthorAge
dev/schedulingFinish load proofYann Herklotz6 months
debug/unhashedAdd benchmarking of unhashed commandsYann Herklotz8 months
dev/asplosAnnonimize submissionYann Herklotz9 months
dev/mac-opAdd dune-project fileYann Herklotz19 months
masterAdd dune-project fileYann Herklotz19 months
dev/full-nix-buildUpdate default.nix fileYann Herklotz2 years
dev/michalisMerge branch 'master' into dev/michalisYann Herklotz3 years
stableFix citation file and READMEYann Herklotz3 years
dev-michalisFix infinite loop in proofYann Herklotz3 years
dev/divAdd the dividerYann Herklotz3 years
[...]
 
TagDownloadAuthorAge
v1.2.2vericert-1.2.2.tar.gz  vericert-1.2.2.zip  Yann Herklotz3 years
v1.2.1vericert-1.2.1.tar.gz  vericert-1.2.1.zip  Yann Herklotz3 years
v1.2.0vericert-1.2.0.tar.gz  vericert-1.2.0.zip  Yann Herklotz3 years
v1.1.0vericert-1.1.0.tar.gz  vericert-1.1.0.zip  Yann Herklotz3 years
v1.0.1vericert-1.0.1.tar.gz  vericert-1.0.1.zip  Yann Herklotz4 years
v1.0.0vericert-1.0.0.tar.gz  vericert-1.0.0.zip  Yann Herklotz4 years
v0.1.0vericert-0.1.0.tar.gz  vericert-0.1.0.zip  Yann Herklotz4 years
 
AgeCommit messageAuthorFilesLines
2023-08-10Annonimize submissiondev/asplosYann Herklotz81-216/+120
2023-08-10Add comments next to admitted theoremsYann Herklotz3-6/+6
2023-08-10Finish final forward simulation correctnessYann Herklotz15-7305/+172
2023-08-10Abort steytin proofsYann Herklotz1-2/+2
2023-08-10Add Bambu synthesis and ClockRegistersYann Herklotz4-0/+414
2023-08-10Fix backend hardware generation and schedulingYann Herklotz13-66/+114
2023-08-10Remove tseytin transformation temporarilyYann Herklotz1-1/+1
2023-08-02Put every load/store into it's own cycleYann Herklotz3-179/+204
2023-08-02Finish datapath memory generationYann Herklotz2-409/+546
2023-08-01Fixing store transformationYann Herklotz3-96/+179
[...]
 
Clone
https://git.ymhg.org/vericert
ssh://git@git.ymhg.org:vericert