aboutsummaryrefslogtreecommitdiffstats
path: root/scripts
diff options
context:
space:
mode:
authorYann Herklotz <git@yannherklotz.com>2022-03-17 21:24:11 +0000
committerYann Herklotz <git@yannherklotz.com>2022-03-17 21:24:11 +0000
commiteb95db64b07f8f9daac9944751803506fdfbd577 (patch)
tree8aa79e50c3946d8c53125cceb184efe5cd2ac39c /scripts
parenta7a8e4481bce810d077ea682b8379535a20931c9 (diff)
downloadvericert-eb95db64b07f8f9daac9944751803506fdfbd577.tar.gz
vericert-eb95db64b07f8f9daac9944751803506fdfbd577.zip
Clean up scripts some more
Diffstat (limited to 'scripts')
-rw-r--r--scripts/Makefile6
-rwxr-xr-x[-rw-r--r--]scripts/run-legup.sh0
-rwxr-xr-xscripts/run-vivado.sh8
-rwxr-xr-xscripts/synth-ssh.sh7
-rwxr-xr-xscripts/synth-ssh0.sh27
-rwxr-xr-xscripts/synth.sh2
6 files changed, 10 insertions, 40 deletions
diff --git a/scripts/Makefile b/scripts/Makefile
index e31a6fd..f6421f4 100644
--- a/scripts/Makefile
+++ b/scripts/Makefile
@@ -7,7 +7,7 @@ all: synthesis
%.1: %.org
emacs --batch --file $< --load ../docs/res/publish.el --funcall org-man-export-to-man
- cp $(<:.org=.man) $@
+ mv $(<:.org=.man) $@
install: synthesis-results synthesis-results.1
install -d $(PREFIX)/bin
@@ -15,4 +15,8 @@ install: synthesis-results synthesis-results.1
install -d $(PREFIX)/share/man/man1
install -C synthesis-results.1 $(PREFIX)/share/man/man1
+clean:
+ rm -f synthesis-results synthesis-results.1
+ rm -f *.link
+
.PHONY: all install
diff --git a/scripts/run-legup.sh b/scripts/run-legup.sh
index 3b6f60f..3b6f60f 100644..100755
--- a/scripts/run-legup.sh
+++ b/scripts/run-legup.sh
diff --git a/scripts/run-vivado.sh b/scripts/run-vivado.sh
deleted file mode 100755
index 117054d..0000000
--- a/scripts/run-vivado.sh
+++ /dev/null
@@ -1,8 +0,0 @@
-#!/bin/bash
-
-benchmark=./$1/$2
-echo $benchmark
-
- cp ./synth.tcl $benchmark/. 2>/dev/null
- cd $benchmark || exit 1
- vivado -mode batch -source synth.tcl >vivado.log 2>&1
diff --git a/scripts/synth-ssh.sh b/scripts/synth-ssh.sh
index fffb9f9..a6ce349 100755
--- a/scripts/synth-ssh.sh
+++ b/scripts/synth-ssh.sh
@@ -5,9 +5,10 @@
scriptsdir=$(dirname "$(readlink -f "$BASH_SOURCE")")
-bench=$1
-output=$2
-machine=ee-beholder1.ee.ic.ac.uk
+num=$1
+bench=$2
+output=$3
+machine=ee-beholder${num}.ee.ic.ac.uk
user=ymh15
files="$scriptsdir/synth.tcl $output/$bench.v"
log="$output/${bench}_synth.log"
diff --git a/scripts/synth-ssh0.sh b/scripts/synth-ssh0.sh
deleted file mode 100755
index 977309c..0000000
--- a/scripts/synth-ssh0.sh
+++ /dev/null
@@ -1,27 +0,0 @@
-#!/usr/bin/bash
-
-# Assumes that the Verilog is passed on the command line, that the tcl file is in synth.tcl and
-# returns encode_report.xml.
-
-scriptsdir=$(dirname "$(readlink -f "$BASH_SOURCE")")
-
-bench=$1
-output=$2
-machine=ee-beholder0.ee.ic.ac.uk
-user=ymh15
-files="$scriptsdir/synth.tcl $output/$bench.v"
-log="$output/${bench}_synth.log"
-
-date >$log
-
-temp=$(ssh $user@$machine "mktemp -d" 2>>$log)
-
->&2 echo "synthesising $bench $temp"
-rsync $files $user@$machine:$temp/ >>$log 2>&1
-ssh $user@$machine \
- "bash -lc 'cd $temp && cp $(basename $bench).v main.v && vivado -mode batch -source synth.tcl'" \
- >>$log 2>&1
-rsync $user@$machine:$temp/encode_report.xml $output/${bench}_report.xml >>$log 2>&1
-ssh $user@$machine "rm -rf '$temp'" >>$log 2>&1
-rm -f main.v >>$log 2>&1
->&2 echo "done $bench"
diff --git a/scripts/synth.sh b/scripts/synth.sh
index 79d7164..b1c2696 100755
--- a/scripts/synth.sh
+++ b/scripts/synth.sh
@@ -29,4 +29,4 @@ rsync -am --include '*/' --include '*.v' --exclude '*' $source/ $output/
echo "executing $parallel runs in parallel"
cat $scriptsdir/../benchmarks/polybench-syn/benchmark-list-master | \
xargs --max-procs=$parallel --replace=% \
- $scriptsdir/synth-ssh0.sh % $output
+ $scriptsdir/synth-ssh.sh 0 % $output