aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorYann Herklotz <git@yannherklotz.com>2019-12-26 03:16:47 +0100
committerYann Herklotz <git@yannherklotz.com>2019-12-26 03:16:47 +0100
commit536947b4a1ae3b7bca7cf31dcfbdbe136773f315 (patch)
tree71ea4789c7456221cb4910e7b357d3b812f977cb
parent3e717de10a7065cee550abc45e2717fec2244573 (diff)
downloadverismith-536947b4a1ae3b7bca7cf31dcfbdbe136773f315.tar.gz
verismith-536947b4a1ae3b7bca7cf31dcfbdbe136773f315.zip
Add instructions
-rw-r--r--experiments/instructions.md27
1 files changed, 27 insertions, 0 deletions
diff --git a/experiments/instructions.md b/experiments/instructions.md
new file mode 100644
index 0000000..bf21694
--- /dev/null
+++ b/experiments/instructions.md
@@ -0,0 +1,27 @@
+
+# Table of Contents
+
+1. [Introduction](#orgdaf6bde)
+2. [Finding failures in Yosys 0.8](#org964ab8d)
+
+
+
+<a id="orgdaf6bde"></a>
+
+# Introduction
+
+The version of Verismith that is assumed to be used is Verismith 0.6.0.2, which is also available on [hackage](<https://hackage.haskell.org/package/verismith-0.6.0.2>) using:
+
+\`\`\`shell
+cabal install verismith
+\`\`\`
+
+
+<a id="org964ab8d"></a>
+
+# Finding failures in Yosys 0.8
+
+Yosys 0.8 was found to fail about 30% of the time, which means that it should be quite simple to find errors in it. However, different versions of Yosys can be tested this way as well and should also result in failures, such as Yosys 0.9 or Yosys commit hashes 3333e00 or 70d0f38.
+
+However, to find failures in Yosys 0.8, a newer version of Yosys has to be used for the equivalence check. For this we can use Yosys master. An alternative for this is to use a simulator with a testbench, which is also supported by Verismith using Icarus Verilog.
+