aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorYann Herklotz <git@yannherklotz.com>2019-12-26 03:23:12 +0100
committerYann Herklotz <git@yannherklotz.com>2019-12-26 03:23:12 +0100
commitd6430fbeb00124788f486c474a8ece6f76974f51 (patch)
tree6cf8cb9f50e429c8b93fe7dfc8b406a5be3f58d8
parent6b626162208c22767cc21fa50cb8f304bc616789 (diff)
downloadverismith-d6430fbeb00124788f486c474a8ece6f76974f51.tar.gz
verismith-d6430fbeb00124788f486c474a8ece6f76974f51.zip
Update instructions further
-rw-r--r--experiments/instructions.md29
-rw-r--r--experiments/instructions.org22
2 files changed, 47 insertions, 4 deletions
diff --git a/experiments/instructions.md b/experiments/instructions.md
index e66b4f5..5134551 100644
--- a/experiments/instructions.md
+++ b/experiments/instructions.md
@@ -1,12 +1,13 @@
# Table of Contents
-1. [Introduction](#org19a28a2)
-2. [Finding failures in Yosys 0.8](#org7dbedb0)
+1. [Introduction](#org2e88aa0)
+2. [Finding failures in Yosys 0.8](#org7368fab)
+ 1. [Installing Yosys master](#orgd073682)
-<a id="org19a28a2"></a>
+<a id="org2e88aa0"></a>
# Introduction
@@ -15,7 +16,7 @@ The version of Verismith that is assumed to be used is Verismith 0.6.0.2, which
cabal install verismith
-<a id="org7dbedb0"></a>
+<a id="org7368fab"></a>
# Finding failures in Yosys 0.8
@@ -23,3 +24,23 @@ Yosys 0.8 was found to fail about 30% of the time, which means that it should be
However, to find failures in Yosys 0.8, a newer version of Yosys has to be used for the equivalence check. For this we can use Yosys master. An alternative for this is to use a simulator with a testbench, which is also supported by Verismith using Icarus Verilog.
+
+<a id="orgd073682"></a>
+
+## Installing Yosys master
+
+The first step is to install Yosys master (which will in this case be installed to `/opt/yosys/master`):
+
+ git clone https://github.com/yosyshq/yosys && cd yosys
+ sed -i 's/^PREFIX ?=.*/PREFIX ?= /opt/yosys/master'
+ make -j4
+ sudo make install
+
+Then we want to install Yosys 0.8 (which will be installed to `/opt/yosys/0.8`):
+
+ git clean -dfx && git reset --hard HEAD
+ git checkout yosys-0.8
+ sed -i 's/^PREFIX ?=.*/PREFIX ?= /opt/yosys/0.8'
+ make -j4
+ sudo make install
+
diff --git a/experiments/instructions.org b/experiments/instructions.org
index 7669c52..e7b1685 100644
--- a/experiments/instructions.org
+++ b/experiments/instructions.org
@@ -13,3 +13,25 @@ cabal install verismith
Yosys 0.8 was found to fail about 30% of the time, which means that it should be quite simple to find errors in it. However, different versions of Yosys can be tested this way as well and should also result in failures, such as Yosys 0.9 or Yosys commit hashes 3333e00 or 70d0f38.
However, to find failures in Yosys 0.8, a newer version of Yosys has to be used for the equivalence check. For this we can use Yosys master. An alternative for this is to use a simulator with a testbench, which is also supported by Verismith using Icarus Verilog.
+
+*** Installing Yosys master
+
+The first step is to install Yosys master (which will in this case be installed to ~/opt/yosys/master~):
+
+#+begin_src
+git clone https://github.com/yosyshq/yosys && cd yosys
+sed -i 's/^PREFIX ?=.*/PREFIX ?= /opt/yosys/master'
+make -j4
+sudo make install
+#+end_src
+
+Then we want to install Yosys 0.8 (which will be installed to ~/opt/yosys/0.8~):
+
+#+begin_src
+git clean -dfx && git reset --hard HEAD
+git checkout yosys-0.8
+sed -i 's/^PREFIX ?=.*/PREFIX ?= /opt/yosys/0.8'
+make -j4
+sudo make install
+#+end_src
+