aboutsummaryrefslogtreecommitdiffstats
path: root/examples
diff options
context:
space:
mode:
authorYann Herklotz <ymherklotz@gmail.com>2018-11-14 11:28:13 +0000
committerYann Herklotz <ymherklotz@gmail.com>2018-11-14 11:28:13 +0000
commitd455be3b924fea2d42d593a6709557e637e83ad9 (patch)
tree43229684c2dade70e39a0dfbbc4633d5ec320116 /examples
parent7d68a1e7ec557e62615a7f9eea438cff7805b120 (diff)
downloadverismith-d455be3b924fea2d42d593a6709557e637e83ad9.tar.gz
verismith-d455be3b924fea2d42d593a6709557e637e83ad9.zip
Add style to the files
Diffstat (limited to 'examples')
-rw-r--r--examples/simple.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/examples/simple.v b/examples/simple.v
index 5198d3d..10b7f0a 100644
--- a/examples/simple.v
+++ b/examples/simple.v
@@ -3,7 +3,7 @@ module and_comb(in1, in2, out);
input in2;
output out;
- assign out = in1 & in2;
+ and and1(out, in1, in2);
endmodule
module main;