aboutsummaryrefslogtreecommitdiffstats
path: root/examples
diff options
context:
space:
mode:
authorYann Herklotz <ymherklotz@gmail.com>2019-01-19 12:33:48 +0000
committerYann Herklotz <ymherklotz@gmail.com>2019-01-19 12:33:48 +0000
commitef96fa6692c15d71ce98983434e50591e897ca2b (patch)
tree009d91fc044bd5d4cb7d398ee9984ac23657bef2 /examples
parentee8046d92f63ffaa8a4bfc81cdeb7cc0fda70b86 (diff)
downloadverismith-ef96fa6692c15d71ce98983434e50591e897ca2b.tar.gz
verismith-ef96fa6692c15d71ce98983434e50591e897ca2b.zip
Separate the lines of the simple example
Diffstat (limited to 'examples')
-rw-r--r--examples/simple.v3
1 files changed, 2 insertions, 1 deletions
diff --git a/examples/simple.v b/examples/simple.v
index 86c2f8b..077ae0a 100644
--- a/examples/simple.v
+++ b/examples/simple.v
@@ -17,7 +17,8 @@ module main;
begin
a = 1'b1;
b = 1'b1;
- #1 $display("%d & %d = %d", a, b, c);
+ #1;
+ $display("%d & %d = %d", a, b, c);
$finish;
end
endmodule