aboutsummaryrefslogtreecommitdiffstats
path: root/experiments/config_large_yosys.toml
diff options
context:
space:
mode:
authorYann Herklotz <git@yannherklotz.com>2019-05-25 19:59:41 +0100
committerYann Herklotz <git@yannherklotz.com>2019-05-25 19:59:41 +0100
commite323bbeab91f8f6f56b5cec1def1f5e8638736d1 (patch)
treecdf39011fad26d9469bc32846122157e93d15e5f /experiments/config_large_yosys.toml
parentfe9084c9fe731ff8f7d94729a3280357cda6f259 (diff)
downloadverismith-e323bbeab91f8f6f56b5cec1def1f5e8638736d1.tar.gz
verismith-e323bbeab91f8f6f56b5cec1def1f5e8638736d1.zip
Add more experiments
Diffstat (limited to 'experiments/config_large_yosys.toml')
-rw-r--r--experiments/config_large_yosys.toml33
1 files changed, 33 insertions, 0 deletions
diff --git a/experiments/config_large_yosys.toml b/experiments/config_large_yosys.toml
new file mode 100644
index 0000000..c1f4837
--- /dev/null
+++ b/experiments/config_large_yosys.toml
@@ -0,0 +1,33 @@
+[probability]
+ expr.binary = 5
+ expr.concatenation = 5
+ expr.number = 1
+ expr.rangeselect = 5
+ expr.signed = 5
+ expr.string = 0
+ expr.ternary = 5
+ expr.unary = 5
+ expr.unsigned = 5
+ expr.variable = 5
+ moditem.assign = 2
+ moditem.combinational = 0
+ moditem.instantiation = 0
+ moditem.sequential = 3
+ statement.blocking = 0
+ statement.conditional = 1
+ statement.forloop = 0
+ statement.nonblocking = 2
+
+[property]
+ module.depth = 2
+ module.max = 5
+ size = 20
+ statement.depth = 7
+ sample.method = "hat"
+ sample.size = 10
+
+[[synthesiser]]
+ description = "yosys"
+ name = "yosys"
+ output = "syn_yosys.v"
+ bin = "/home/ymh15/.local/bin"