aboutsummaryrefslogtreecommitdiffstats
path: root/experiments/yosys_all.toml
diff options
context:
space:
mode:
authorYann Herklotz <git@yannherklotz.com>2019-05-25 19:59:41 +0100
committerYann Herklotz <git@yannherklotz.com>2019-05-25 19:59:41 +0100
commite323bbeab91f8f6f56b5cec1def1f5e8638736d1 (patch)
treecdf39011fad26d9469bc32846122157e93d15e5f /experiments/yosys_all.toml
parentfe9084c9fe731ff8f7d94729a3280357cda6f259 (diff)
downloadverismith-e323bbeab91f8f6f56b5cec1def1f5e8638736d1.tar.gz
verismith-e323bbeab91f8f6f56b5cec1def1f5e8638736d1.zip
Add more experiments
Diffstat (limited to 'experiments/yosys_all.toml')
-rw-r--r--experiments/yosys_all.toml39
1 files changed, 39 insertions, 0 deletions
diff --git a/experiments/yosys_all.toml b/experiments/yosys_all.toml
new file mode 100644
index 0000000..2a425d1
--- /dev/null
+++ b/experiments/yosys_all.toml
@@ -0,0 +1,39 @@
+[probability]
+ expr.binary = 5
+ expr.concatenation = 5
+ expr.number = 1
+ expr.rangeselect = 5
+ expr.signed = 5
+ expr.string = 0
+ expr.ternary = 5
+ expr.unary = 5
+ expr.unsigned = 5
+ expr.variable = 5
+ moditem.assign = 2
+ moditem.combinational = 0
+ moditem.instantiation = 0
+ moditem.sequential = 3
+ statement.blocking = 0
+ statement.conditional = 1
+ statement.forloop = 0
+ statement.nonblocking = 2
+
+[property]
+ module.depth = 2
+ module.max = 5
+ size = 20
+ statement.depth = 7
+ sample.method = "hat"
+ sample.size = 10
+
+[[synthesiser]]
+ name = "yosys"
+ description = "yosys_0.8"
+ output = "yosys_0_8.v"
+ bin = "/home/ymh15/opt/yosys0.8/bin"
+
+[[synthesiser]]
+ name = "yosys"
+ description = "yosys_master"
+ output = "yosys_master.v"
+ bin = "/home/ymh15/.local/bin"