aboutsummaryrefslogtreecommitdiffstats
path: root/src/VeriFuzz/Verilog/Gen.hs
Commit message (Collapse)AuthorAgeFilesLines
* Fine tune the generationYann Herklotz2019-04-231-15/+13
|
* Add event list generation for always blocksYann Herklotz2019-04-231-1/+2
|
* Add support for more event listsYann Herklotz2019-04-211-1/+27
|
* Reduce the wire size as Quartus was crashingYann Herklotz2019-04-171-1/+9
|
* Move declaration of SourceInfoYann Herklotz2019-04-151-6/+7
|
* Format with brittany and add right modulesYann Herklotz2019-04-151-11/+11
|
* Add Bit vector instead of using numbersYann Herklotz2019-04-141-58/+57
|
* Fix tests passingYann Herklotz2019-04-131-1/+1
|
* Add for loop to designYann Herklotz2019-04-121-32/+115
|
* Fix the generation of modules and add initialisationYann Herklotz2019-04-101-50/+139
|
* Add probabilities to generation of expressionsYann Herklotz2019-04-091-19/+46
|
* Add generation of parameters and constant expressionsYann Herklotz2019-04-091-7/+47
|
* Add Parameter type and remove DescriptionYann Herklotz2019-04-091-3/+3
|
* Create Arbitrary moduleYann Herklotz2019-04-081-0/+1
|
* Generate flip-flops instead of latchesYann Herklotz2019-04-061-11/+4
|
* Fix adding port to state and add everything to outputYann Herklotz2019-04-041-8/+20
|
* Fix infinite loop in state based generationYann Herklotz2019-04-031-12/+17
|
* Large refactor with passing testsYann Herklotz2019-04-021-0/+202