aboutsummaryrefslogtreecommitdiffstats
path: root/data/cells_yosys.v
blob: adb8adb9ec68f2a4f02c484be0c1f785f7d4b5ac (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
// Taken from yosys simcells.v

module \$_DLATCH_N_ (E, D, Q);
   input E, D;
   output reg Q;
   always @* begin
	  if (E == 0)
		Q <= D;
   end
endmodule

module \$_DLATCH_P_ (E, D, Q);
   input E, D;
   output reg Q;
   always @* begin
	  if (E == 1)
		Q <= D;
   end
endmodule