aboutsummaryrefslogtreecommitdiffstats
path: root/experiments/config_large_yosys.toml
blob: c1f483788d493a3b58d422d046e55e2378eddf15 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
[probability]
  expr.binary = 5
  expr.concatenation = 5
  expr.number = 1
  expr.rangeselect = 5
  expr.signed = 5
  expr.string = 0
  expr.ternary = 5
  expr.unary = 5
  expr.unsigned = 5
  expr.variable = 5
  moditem.assign = 2
  moditem.combinational = 0
  moditem.instantiation = 0
  moditem.sequential = 3
  statement.blocking = 0
  statement.conditional = 1
  statement.forloop = 0
  statement.nonblocking = 2

[property]
  module.depth = 2
  module.max = 5
  size = 20
  statement.depth = 7
  sample.method = "hat"
  sample.size = 10

[[synthesiser]]
  description = "yosys"
  name = "yosys"
  output = "syn_yosys.v"
  bin = "/home/ymh15/.local/bin"