summaryrefslogtreecommitdiffstats
path: root/iseconfig
diff options
context:
space:
mode:
authorYann Herklotz <ymherklotz@gmail.com>2017-02-21 01:11:06 +0000
committerYann Herklotz <ymherklotz@gmail.com>2017-02-21 01:11:06 +0000
commit0446c43ffae38888dfad9120281acde6a7954509 (patch)
tree88d6c1afb2b3685a45b09d27fedc856ba0923575 /iseconfig
parent557fd604e7c9a079d136c76089446d9c714438ec (diff)
downloadFPGA_Playground-0446c43ffae38888dfad9120281acde6a7954509.tar.gz
FPGA_Playground-0446c43ffae38888dfad9120281acde6a7954509.zip
Adding more files
Diffstat (limited to 'iseconfig')
-rw-r--r--iseconfig/FPGA-led-lights.projectmgr91
-rw-r--r--iseconfig/led.xreport4
2 files changed, 93 insertions, 2 deletions
diff --git a/iseconfig/FPGA-led-lights.projectmgr b/iseconfig/FPGA-led-lights.projectmgr
new file mode 100644
index 0000000..1bf392f
--- /dev/null
+++ b/iseconfig/FPGA-led-lights.projectmgr
@@ -0,0 +1,91 @@
+<?xml version='1.0' encoding='utf-8'?>
+<!--This is an ISE project configuration file.-->
+<!--It holds project specific layout data for the projectmgr plugin.-->
+<!--Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved.-->
+<Project version="2" owner="projectmgr" name="FPGA-led-lights" >
+ <!--This is an ISE project configuration file.-->
+ <ItemView engineview="SynthesisOnly" guiview="Source" compilemode="AutoCompile" >
+ <ClosedNodes>
+ <ClosedNodesVersion>2</ClosedNodesVersion>
+ </ClosedNodes>
+ <SelectedItems>
+ <SelectedItem>led (/home/yannherklotz/Github/FPGA-led-lights/led.v)</SelectedItem>
+ </SelectedItems>
+ <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
+ <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
+ <ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000012c000000020000000000000000000000000200000064ffffffff0000008100000003000000020000012c0000000100000003000000000000000100000003</ViewHeaderState>
+ <UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
+ <CurrentItem>led (/home/yannherklotz/Github/FPGA-led-lights/led.v)</CurrentItem>
+ </ItemView>
+ <ItemView engineview="SynthesisOnly" sourcetype="" guiview="Process" >
+ <ClosedNodes>
+ <ClosedNodesVersion>1</ClosedNodesVersion>
+ <ClosedNode>Design Utilities</ClosedNode>
+ </ClosedNodes>
+ <SelectedItems>
+ <SelectedItem/>
+ </SelectedItems>
+ <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
+ <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
+ <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f1000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f10000000100000000</ViewHeaderState>
+ <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
+ <CurrentItem/>
+ </ItemView>
+ <ItemView guiview="File" >
+ <ClosedNodes>
+ <ClosedNodesVersion>1</ClosedNodesVersion>
+ </ClosedNodes>
+ <SelectedItems/>
+ <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
+ <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
+ <ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000000000000001000000000000000000000000000000000000039c000000040101000100000000000000000000000064ffffffff0000008100000000000000040000004f0000000100000000000000290000000100000000000000840000000100000000000002a00000000100000000</ViewHeaderState>
+ <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
+ <CurrentItem>BPC3011-Papilio_Pro-general.ucf</CurrentItem>
+ </ItemView>
+ <ItemView guiview="Library" >
+ <ClosedNodes>
+ <ClosedNodesVersion>1</ClosedNodesVersion>
+ <ClosedNode>work</ClosedNode>
+ </ClosedNodes>
+ <SelectedItems/>
+ <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
+ <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
+ <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000000000000010000000000000000000000000000000000000125000000010001000100000000000000000000000064ffffffff000000810000000000000001000001250000000100000000</ViewHeaderState>
+ <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
+ <CurrentItem>work</CurrentItem>
+ </ItemView>
+ <ItemView engineview="SynthesisOnly" sourcetype="DESUT_VERILOG" guiview="Process" >
+ <ClosedNodes>
+ <ClosedNodesVersion>1</ClosedNodesVersion>
+ <ClosedNode>Configure Target Device</ClosedNode>
+ <ClosedNode>Design Utilities</ClosedNode>
+ <ClosedNode>Implement Design</ClosedNode>
+ <ClosedNode>Synthesize - XST</ClosedNode>
+ <ClosedNode>User Constraints</ClosedNode>
+ </ClosedNodes>
+ <SelectedItems>
+ <SelectedItem></SelectedItem>
+ </SelectedItems>
+ <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
+ <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
+ <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f1000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f10000000100000000</ViewHeaderState>
+ <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
+ <CurrentItem></CurrentItem>
+ </ItemView>
+ <ItemView engineview="SynthesisOnly" sourcetype="DESUT_UCF" guiview="Process" >
+ <ClosedNodes>
+ <ClosedNodesVersion>1</ClosedNodesVersion>
+ <ClosedNode>User Constraints</ClosedNode>
+ </ClosedNodes>
+ <SelectedItems>
+ <SelectedItem></SelectedItem>
+ </SelectedItems>
+ <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
+ <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
+ <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f1000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f10000000100000000</ViewHeaderState>
+ <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
+ <CurrentItem></CurrentItem>
+ </ItemView>
+ <SourceProcessView>000000ff0000000000000002000001510000012001000000060100000002</SourceProcessView>
+ <CurrentView>Implementation</CurrentView>
+</Project>
diff --git a/iseconfig/led.xreport b/iseconfig/led.xreport
index 8f92663..9fc68e7 100644
--- a/iseconfig/led.xreport
+++ b/iseconfig/led.xreport
@@ -1,11 +1,11 @@
<?xml version='1.0' encoding='UTF-8'?>
<report-views version="2.0" >
<header>
- <DateModified>2017-02-19T23:10:42</DateModified>
+ <DateModified>2017-02-20T16:00:35</DateModified>
<ModuleName>led</ModuleName>
<SummaryTimeStamp>Unknown</SummaryTimeStamp>
<SavedFilePath>/home/yannherklotz/Github/FPGA-led-lights/iseconfig/led.xreport</SavedFilePath>
- <ImplementationReportsDirectory>/home/yannherklotz/Github/FPGA-led-lights</ImplementationReportsDirectory>
+ <ImplementationReportsDirectory>/home/yannherklotz/Github/FPGA-led-lights/</ImplementationReportsDirectory>
<DateInitialized>2017-02-19T23:10:42</DateInitialized>
<EnableMessageFiltering>false</EnableMessageFiltering>
</header>